// ta_Dump File v3.0 -- code v7.1.0.0 rev10018 LeabraProject .projects["BG_4s_inhib_PS_e7a"] { Doc_Group @.docs = [1] { taDoc @["ProjectDocs"] { UserDataItem_List @*(.user_data_) { UserDataItem @["user_pinned"] { }; }; }; }; Wizard_Group @.wizards = [1] { LeabraWizard @["LeabraWizard_2"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NO_CLIP"] { }; }; }; }; ControlPanel_Group @.ctrl_panels = [2] { ControlPanel @["MasterControl"] { UserDataItem_List @*(.user_data_) { UserDataItem @["user_pinned"] { }; }; EditMbrItem_Group @.mbrs = [7] { EditMbrItem @[0] { }; EditMbrItem @[1] { }; EditMbrItem @[2] { }; EditMbrItem @[3] { }; EditMbrItem @[4] { }; EditMbrItem @[5] { }; EditMbrItem @[6] { }; }; EditMthItem_Group @.mths = [4] { EditMthItem @[0] { }; EditMthItem @[1] { }; EditMthItem @[2] { }; EditMthItem @[3] { }; }; }; ClusterRun @["ClusterRun"] { UserDataItem_List @*(.user_data_) { UserDataItem @["user_pinned"] { }; }; EditMbrItem_Group @.mbrs = [0] { }; EditMthItem_Group @.mths = [0] { }; DataTableCols @.jobs_running.data = [33] { String_Data @["cluster"] { }; String_Data @["user"] { }; String_Data @["tag"] { }; String_Data @["status"] { }; String_Data @["label"] { }; String_Data @["notes"] { }; String_Data @["filename"] { }; String_Data @["params"] { }; String_Data @["status_info"] { }; String_Data @["submit_time"] { }; String_Data @["start_time"] { }; String_Data @["end_time"] { }; String_Data @["running_time"] { }; String_Data @["job_no"] { }; String_Data @["job_out"] { }; String_Data @["job_out_file"] { }; String_Data @["dat_files"] { }; String_Data @["other_files"] { }; int_Data @["command_id"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; String_Data @["command"] { }; String_Data @["repo_url"] { }; String_Data @["queue"] { }; String_Data @["run_time"] { }; int_Data @["ram_gb"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; int_Data @["n_threads"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; int_Data @["mpi_nodes"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; int_Data @["mpi_per_node"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; int_Data @["pb_batches"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; int_Data @["pb_nodes"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; String_Data @["submit_svn"] { }; String_Data @["submit_job"] { }; String_Data @["done_svn"] { }; String_Data @["last_svn"] { }; }; DataOpList @.jobs_running.last_sort_spec.ops = [0] { }; DataTableCell_List @.jobs_running.control_panel_cells = [0] { }; DataTableCols @.jobs_done.data = [33] { String_Data @["cluster"] { }; String_Data @["user"] { }; String_Data @["tag"] { }; String_Data @["status"] { }; String_Data @["label"] { }; String_Data @["notes"] { }; String_Data @["filename"] { }; String_Data @["params"] { }; String_Data @["status_info"] { }; String_Data @["submit_time"] { }; String_Data @["start_time"] { }; String_Data @["end_time"] { }; String_Data @["running_time"] { }; String_Data @["job_no"] { }; String_Data @["job_out"] { }; String_Data @["job_out_file"] { }; String_Data @["dat_files"] { }; String_Data @["other_files"] { }; int_Data @["command_id"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; String_Data @["command"] { }; String_Data @["repo_url"] { }; String_Data @["queue"] { }; String_Data @["run_time"] { }; int_Data @["ram_gb"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; int_Data @["n_threads"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; int_Data @["mpi_nodes"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; int_Data @["mpi_per_node"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; int_Data @["pb_batches"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; int_Data @["pb_nodes"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; String_Data @["submit_svn"] { }; String_Data @["submit_job"] { }; String_Data @["done_svn"] { }; String_Data @["last_svn"] { }; }; DataOpList @.jobs_done.last_sort_spec.ops = [0] { }; DataTableCell_List @.jobs_done.control_panel_cells = [0] { }; DataTableCols @.jobs_deleted.data = [33] { String_Data @["cluster"] { }; String_Data @["user"] { }; String_Data @["tag"] { }; String_Data @["status"] { }; String_Data @["label"] { }; String_Data @["notes"] { }; String_Data @["filename"] { }; String_Data @["params"] { }; String_Data @["status_info"] { }; String_Data @["submit_time"] { }; String_Data @["start_time"] { }; String_Data @["end_time"] { }; String_Data @["running_time"] { }; String_Data @["job_no"] { }; String_Data @["job_out"] { }; String_Data @["job_out_file"] { }; String_Data @["dat_files"] { }; String_Data @["other_files"] { }; int_Data @["command_id"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; String_Data @["command"] { }; String_Data @["repo_url"] { }; String_Data @["queue"] { }; String_Data @["run_time"] { }; int_Data @["ram_gb"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; int_Data @["n_threads"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; int_Data @["mpi_nodes"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; int_Data @["mpi_per_node"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; int_Data @["pb_batches"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; int_Data @["pb_nodes"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; String_Data @["submit_svn"] { }; String_Data @["submit_job"] { }; String_Data @["done_svn"] { }; String_Data @["last_svn"] { }; }; DataOpList @.jobs_deleted.last_sort_spec.ops = [0] { }; DataTableCell_List @.jobs_deleted.control_panel_cells = [0] { }; DataTableCols @.jobs_archive.data = [33] { String_Data @["cluster"] { }; String_Data @["user"] { }; String_Data @["tag"] { }; String_Data @["status"] { }; String_Data @["label"] { }; String_Data @["notes"] { }; String_Data @["filename"] { }; String_Data @["params"] { }; String_Data @["status_info"] { }; String_Data @["submit_time"] { }; String_Data @["start_time"] { }; String_Data @["end_time"] { }; String_Data @["running_time"] { }; String_Data @["job_no"] { }; String_Data @["job_out"] { }; String_Data @["job_out_file"] { }; String_Data @["dat_files"] { }; String_Data @["other_files"] { }; int_Data @["command_id"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; String_Data @["command"] { }; String_Data @["repo_url"] { }; String_Data @["queue"] { }; String_Data @["run_time"] { }; int_Data @["ram_gb"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; int_Data @["n_threads"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; int_Data @["mpi_nodes"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; int_Data @["mpi_per_node"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; int_Data @["pb_batches"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; int_Data @["pb_nodes"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; String_Data @["submit_svn"] { }; String_Data @["submit_job"] { }; String_Data @["done_svn"] { }; String_Data @["last_svn"] { }; }; DataOpList @.jobs_archive.last_sort_spec.ops = [0] { }; DataTableCell_List @.jobs_archive.control_panel_cells = [0] { }; DataTableCols @.file_list.data = [11] { String_Data @["cluster"] { }; String_Data @["user"] { }; String_Data @["file_name"] { }; String_Data @["tag"] { }; String_Data @["size"] { }; String_Data @["kind"] { }; String_Data @["date_modified"] { }; String_Data @["date_created"] { }; String_Data @["svn_file_path"] { }; String_Data @["proj_file_path"] { }; String_Data @["file_path"] { }; }; DataOpList @.file_list.last_sort_spec.ops = [0] { }; DataTableCell_List @.file_list.control_panel_cells = [0] { }; DataTableCols @.cluster_info.data = [6] { String_Data @["queue"] { }; String_Data @["job_no"] { }; String_Data @["user"] { }; String_Data @["state"] { }; String_Data @["procs"] { }; String_Data @["start_time"] { }; }; DataOpList @.cluster_info.last_sort_spec.ops = [0] { }; DataTableCell_List @.cluster_info.control_panel_cells = [0] { }; ParamSearchAlgo_List @.search_algos = [0] { }; }; }; ParamSet_Group @.param_sets = [0] { }; DataTable_Group @.data = [0] { DataTable_Group @.gp["InputData"] = [2] { DataTable @["StdInputData"] { DataTableCols @.data = [8] { String_Data @["Name"] { }; float_Data @["Motor_Cortex"] { }; float_Data @["Output"] { }; float_Data @["Input"] { }; float_Data @["Context"] { }; float_Data @["SNc2"] { }; float_Data @["SNc"] { }; int_Data @["Name_No"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; }; DataOpList @.last_sort_spec.ops = [0] { }; DataTableCell_List @.control_panel_cells = [0] { }; }; DataTable @["TransferInputData"] { DataTableCols @.data = [8] { String_Data @["Name"] { }; float_Data @["Motor_Cortex"] { }; float_Data @["Output"] { }; float_Data @["Input"] { }; float_Data @["Context"] { }; float_Data @["SNc2"] { }; float_Data @["SNc"] { }; int_Data @["Name_No"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; }; }; }; DataOpList @.last_sort_spec.ops = [0] { }; DataTableCell_List @.control_panel_cells = [0] { }; }; }; DataTable_Group @.gp["OutputData"] = [3] { DataTable @["TrialOutputData"] { DataTableCols @.data = [8] { int_Data @["batch"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; UserDataItem @["view_panel_wd"] { }; }; }; int_Data @["epoch"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; UserDataItem @["view_panel_wd"] { }; }; }; String_Data @["trial_name"] { UserDataItem_List @*(.user_data_) { UserDataItem @["view_panel_wd"] { }; }; }; float_Data @["minus_cycles"] { UserDataItem_List @*(.user_data_) { UserDataItem @["view_panel_wd"] { }; }; }; int_Data @["r1"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; UserDataItem @["view_panel_wd"] { }; }; }; int_Data @["r2"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; UserDataItem @["view_panel_wd"] { }; }; }; int_Data @["r3"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; UserDataItem @["view_panel_wd"] { }; }; }; int_Data @["r4"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; UserDataItem @["view_panel_wd"] { }; }; }; }; DataOpList @.last_sort_spec.ops = [0] { }; DataTableCell_List @.control_panel_cells = [0] { }; }; DataTable @["EpochOutputData"] { DataTableCols @.data = [6] { int_Data @["batch"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; UserDataItem @["view_panel_wd"] { }; }; }; int_Data @["epoch"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; UserDataItem @["view_panel_wd"] { }; }; }; float_Data @["avg_sse"] { UserDataItem_List @*(.user_data_) { UserDataItem @["view_panel_wd"] { }; }; }; float_Data @["cnt_err"] { UserDataItem_List @*(.user_data_) { UserDataItem @["view_panel_wd"] { }; }; }; float_Data @["avg_ext_rew"] { UserDataItem_List @*(.user_data_) { UserDataItem @["view_panel_wd"] { }; }; }; float_Data @["avg_cycles"] { UserDataItem_List @*(.user_data_) { UserDataItem @["view_panel_wd"] { }; }; }; }; DataOpList @.last_sort_spec.ops = [0] { }; DataTableCell_List @.control_panel_cells = [0] { }; }; DataTable @["CycleOutputData"] { DataTableCols @.data = [17] { int_Data @["batch"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; UserDataItem @["view_panel_wd"] { }; }; }; int_Data @["epoch"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; UserDataItem @["view_panel_wd"] { }; }; }; int_Data @["trial"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; UserDataItem @["view_panel_wd"] { }; }; }; String_Data @["trial_name"] { UserDataItem_List @*(.user_data_) { UserDataItem @["view_panel_wd"] { }; }; }; String_Data @["group_name"] { UserDataItem_List @*(.user_data_) { UserDataItem @["view_panel_wd"] { }; }; }; int_Data @["phase_no"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; UserDataItem @["view_panel_wd"] { }; }; }; int_Data @["cycle"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; UserDataItem @["view_panel_wd"] { }; }; }; float_Data @["sse"] { UserDataItem_List @*(.user_data_) { UserDataItem @["view_panel_wd"] { }; }; }; float_Data @["ext_rew"] { UserDataItem_List @*(.user_data_) { UserDataItem @["view_panel_wd"] { }; }; }; float_Data @["STN_acts_avg"] { UserDataItem_List @*(.user_data_) { UserDataItem @["view_panel_wd"] { }; }; }; float_Data @["PMC_acts_avg"] { UserDataItem_List @*(.user_data_) { UserDataItem @["view_panel_wd"] { }; }; }; float_Data @["Thalam_acts_avg"] { UserDataItem_List @*(.user_data_) { UserDataItem @["view_panel_wd"] { }; }; }; float_Data @["GP_Int_acts_avg"] { UserDataItem_List @*(.user_data_) { UserDataItem @["view_panel_wd"] { }; }; }; float_Data @["GP_Ext_acts_avg"] { UserDataItem_List @*(.user_data_) { UserDataItem @["view_panel_wd"] { }; }; }; float_Data @["Output_act"] { UserDataItem_List @*(.user_data_) { UserDataItem @["view_panel_wd"] { }; }; }; float_Data @["Output_units_0_act"] { UserDataItem_List @*(.user_data_) { UserDataItem @["view_panel_wd"] { }; }; }; float_Data @["SNc_acts_avg"] { UserDataItem_List @*(.user_data_) { UserDataItem @["view_panel_wd"] { }; }; }; }; DataOpList @.last_sort_spec.ops = [0] { }; DataTableCell_List @.control_panel_cells = [0] { }; }; }; DataTable_Group @.gp["AnalysisData"] = [2] { DataTable @["TrialOutputData_Group"] { DataTableCols @.data = [6] { String_Data @["trial_name_group"] { UserDataItem_List @*(.user_data_) { UserDataItem @["view_panel_wd"] { }; }; }; int_Data @["batch_group"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; UserDataItem @["view_panel_wd"] { }; }; }; float_Data @["r1_mean"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; UserDataItem @["view_panel_wd"] { }; }; }; float_Data @["r2_mean"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; UserDataItem @["view_panel_wd"] { }; }; }; float_Data @["r3_mean"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; UserDataItem @["view_panel_wd"] { }; }; }; float_Data @["r4_mean"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; UserDataItem @["view_panel_wd"] { }; }; }; }; DataOpList @.last_sort_spec.ops = [0] { }; DataTableCell_List @.control_panel_cells = [0] { }; }; DataTable @["Stats"] { DataTableCols @.data = [9] { String_Data @["trial_name_group_group"] { UserDataItem_List @*(.user_data_) { UserDataItem @["view_panel_wd"] { }; }; }; float_Data @["r1_mean_mean"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; UserDataItem @["view_panel_wd"] { }; }; }; float_Data @["r1_mean_sem"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; UserDataItem @["view_panel_wd"] { }; }; }; float_Data @["r2_mean_mean"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; UserDataItem @["view_panel_wd"] { }; }; }; float_Data @["r2_mean_sem"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; UserDataItem @["view_panel_wd"] { }; }; }; float_Data @["r3_mean_mean"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; UserDataItem @["view_panel_wd"] { }; }; }; float_Data @["r3_mean_sem"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; UserDataItem @["view_panel_wd"] { }; }; }; float_Data @["r4_mean_mean"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; UserDataItem @["view_panel_wd"] { }; }; }; float_Data @["r4_mean_sem"] { UserDataItem_List @*(.user_data_) { UserDataItem @["NARROW"] { }; UserDataItem @["view_panel_wd"] { }; }; }; }; DataOpList @.last_sort_spec.ops = [0] { }; DataTableCell_List @.control_panel_cells = [0] { }; }; }; }; Program_TopGroup @.programs = [0] { Program_Group @.gp["LeabraAll_Std"] = [13] { Program @["LeabraBatch"] { UserDataItem_List @*(.user_data_) { UserData_DocLink @["DocLink"] { }; }; ProgObjList @.objs = [0] { }; ProgType_List @.types = [0] { }; ProgVar_List @.args = [3] { ProgVar @["FlatNetwork"] { }; ProgVar @["input_data"] { }; ProgVar @["NLoops"] { }; }; ProgVar_List @.vars = [9] { ProgVar @["network"] { }; ProgVar @["batch"] { }; ProgVar @["meds"] { }; ProgVar @["DA_dip_val"] { }; ProgVar @["trl_out_data"] { }; ProgVar @["trl_group"] { }; ProgVar @["stats"] { }; ProgVar @["max_batch"] { }; ProgVar @["num_intact_snc_units"] { }; }; Function_List @.functions = [0] { }; ProgEl_List @.init_code = [3] { NetCounterInit @[0] { }; If @[1] { ProgEl_List @.true_code = [2] { AssignExpr @[0] { }; OtherProgramVar @[1] { }; }; }; Else @[2] { ProgEl_List @.else_code = [2] { AssignExpr @[0] { }; OtherProgramVar @[1] { }; }; }; }; ProgEl_List @.prog_code = [5] { NetCounterInit @[0] { }; WhileLoop @[1] { ProgEl_List @.loop_code = [2] { ProgramCall @[0] { ProgArg_List @.prog_args = [4] { ProgArg @[0] { }; ProgArg @[1] { }; ProgArg @[2] { }; ProgArg @[3] { }; }; }; NetCounterIncr @[1] { }; }; }; DataSelectRowsProg @[2] { DataOpList @.select_spec.ops = [1] { DataSelectEl @["minus_cycles_LESSTHAN__0"] { }; }; }; DataGroupProg @[3] { DataOpList @.group_spec.ops = [6] { DataGroupEl @["trial_name_GROUP_0"] { }; DataGroupEl @["batch_GROUP_1"] { }; DataGroupEl @["r1_MEAN_2"] { }; DataGroupEl @["r2_MEAN_3"] { }; DataGroupEl @["r3_MEAN_4"] { }; DataGroupEl @["r4_MEAN_5"] { }; }; }; DataGroupProg @[4] { DataOpList @.group_spec.ops = [9] { DataGroupEl @["trial_name_group_GROUP_0"] { }; DataGroupEl @["r1_mean_MEAN_1"] { }; DataGroupEl @["r1_mean_SEM_2"] { }; DataGroupEl @["r2_mean_MEAN_3"] { }; DataGroupEl @["r2_mean_SEM_4"] { }; DataGroupEl @["r3_mean_MEAN_5"] { }; DataGroupEl @["r3_mean_SEM_6"] { }; DataGroupEl @["r4_mean_MEAN_7"] { }; DataGroupEl @["r4_mean_SEM_8"] { }; }; }; }; }; Program @["LeabraTrain"] { UserDataItem_List @*(.user_data_) { UserData_DocLink @["DocLink"] { }; }; ProgObjList @.objs = [1] { RndSeed @["rnd_seed"] { }; }; ProgType_List @.types = [1] { DynEnumType @["RndInitType"] { DynEnumItem_List @.enums = [2] { DynEnumItem @["OLD_SEED"] { }; DynEnumItem @["NEW_SEED"] { }; }; }; }; ProgVar_List @.args = [4] { ProgVar @["network"] { }; ProgVar @["input_data"] { }; ProgVar @["FlatNetwork"] { }; ProgVar @["no_prompts"] { }; }; ProgVar_List @.vars = [23] { ProgVar @["max_epoch"] { }; ProgVar @["max_epoch_reel"] { }; ProgVar @["train_mode"] { }; ProgVar @["test_mode"] { }; ProgVar @["rnd_init"] { }; ProgVar @["epoch"] { }; ProgVar @["inside_epoch"] { }; ProgVar @["err_stopcrit"] { }; ProgVar @["rnd_seed"] { }; ProgVar @["Test"] { }; ProgVar @["train_timer"] { }; ProgVar @["j"] { }; ProgVar @["i"] { }; ProgVar @["periode"] { }; ProgVar @["num_intact_snc_units"] { }; ProgVar @["snc_size"] { }; ProgVar @["SNc"] { }; ProgVar @["StdInputData"] { }; ProgVar @["SuccessiveCor"] { }; ProgVar @["d2"] { }; ProgVar @["d1"] { }; ProgVar @["inGo"] { }; ProgVar @["inNoGO"] { }; }; Function_List @.functions = [0] { }; ProgEl_List @.init_code = [7] { AssignExpr @[0] { }; MethodCall @[1] { ProgArg_List @.meth_args = [1] { ProgArg @[0] { }; }; }; AssignExpr @[2] { }; NetCounterInit @[3] { }; If @[4] { ProgEl_List @.true_code = [1] { MethodCall @[0] { ProgArg_List @.meth_args = [0] { }; }; }; }; Else @[5] { ProgEl_List @.else_code = [1] { MethodCall @[0] { ProgArg_List @.meth_args = [0] { }; }; }; }; IfGuiPrompt @[6] { ProgEl_List @.yes_code = [2] { MethodCall @[0] { ProgArg_List @.meth_args = [0] { }; }; PrintExpr @[1] { }; }; }; }; ProgEl_List @.prog_code = [19] { LocalVars @[0] { ProgVar_List @.local_vars = [5] { ProgVar @["d2_acq"] { }; ProgVar @["d1_acq"] { }; ProgVar @["d2_perf"] { }; ProgVar @["d1_perf"] { }; ProgVar @["d2_acq_4"] { }; }; }; AssignExpr @[1] { }; MethodCall @[2] { ProgArg_List @.meth_args = [1] { ProgArg @[0] { }; }; }; NetCounterInit @[3] { }; MemberAssign @[4] { }; If @[5] { ProgEl_List @.true_code = [2] { MethodCall @[0] { ProgArg_List @.meth_args = [0] { }; }; PrintExpr @[1] { }; }; }; AssignExpr @[6] { }; OtherProgramVar @[7] { }; AssignExpr @[8] { }; AssignExpr @[9] { }; MemberAssign @[10] { }; MemberAssign @[11] { }; MemberAssign @[12] { }; MemberAssign @[13] { }; WhileLoop @[14] { ProgEl_List @.loop_code = [7] { MemberAssign @[0] { }; AssignExpr @[1] { }; ProgramCall @[2] { ProgArg_List @.prog_args = [4] { ProgArg @[0] { }; ProgArg @[1] { }; ProgArg @[2] { }; ProgArg @[3] { }; }; }; NetCounterIncr @[3] { }; If @[4] { ProgEl_List @.true_code = [1] { AssignExpr @[0] { }; }; }; Else @[5] { ProgEl_List @.else_code = [1] { AssignExpr @[0] { }; }; }; MemberAssign @[6] { }; }; }; MemberAssign @[15] { }; MemberAssign @[16] { }; WhileLoop @[17] { ProgEl_List @.loop_code = [5] { MemberAssign @[0] { }; AssignExpr @[1] { }; ProgramCall @[2] { ProgArg_List @.prog_args = [4] { ProgArg @[0] { }; ProgArg @[1] { }; ProgArg @[2] { }; ProgArg @[3] { }; }; }; NetCounterIncr @[3] { }; MemberAssign @[4] { }; }; }; MethodCall @[18] { ProgArg_List @.meth_args = [0] { }; }; }; }; Program @["LeabraEpoch"] { UserDataItem_List @*(.user_data_) { UserData_DocLink @["DocLink"] { }; }; ProgObjList @.objs = [0] { }; ProgType_List @.types = [0] { }; ProgVar_List @.args = [4] { ProgVar @["network"] { }; ProgVar @["periode"] { }; ProgVar @["FlatNetwork"] { }; ProgVar @["input_data"] { }; }; ProgVar_List @.vars = [6] { ProgVar @["data_loop_order"] { }; ProgVar @["trial"] { }; ProgVar @["trial_mon_data"] { }; ProgVar @["epoch_timer"] { }; ProgVar @["data_loop_index"] { }; ProgVar @["NLoops"] { }; }; Function_List @.functions = [0] { }; ProgEl_List @.init_code = [2] { NetCounterInit @[0] { }; AssignExpr @[1] { }; }; ProgEl_List @.prog_code = [9] { NetCounterInit @[0] { }; AssignExpr @[1] { }; MethodCall @[2] { ProgArg_List @.meth_args = [1] { ProgArg @[0] { }; }; }; MethodCall @[3] { ProgArg_List @.meth_args = [0] { }; }; NetDataLoop @[4] { ProgEl_List @.loop_code = [2] { ProgramCall @[0] { ProgArg_List @.prog_args = [3] { ProgArg @[0] { }; ProgArg @[1] { }; ProgArg @[2] { }; }; }; If @[1] { ProgEl_List @.true_code = [1] { MethodCall @[0] { ProgArg_List @.meth_args = [0] { }; }; }; }; }; }; If @[5] { ProgEl_List @.true_code = [1] { MethodCall @[0] { ProgArg_List @.meth_args = [0] { }; }; }; }; MethodCall @[6] { ProgArg_List @.meth_args = [0] { }; }; ProgramCall @[7] { ProgArg_List @.prog_args = [2] { ProgArg @[0] { }; ProgArg @[1] { }; }; }; MethodCall @[8] { ProgArg_List @.meth_args = [0] { }; }; }; }; Program @["LeabraTrial"] { UserDataItem_List @*(.user_data_) { UserData_DocLink @["DocLink"] { }; }; ProgObjList @.objs = [0] { }; ProgType_List @.types = [0] { }; ProgVar_List @.args = [3] { ProgVar @["network"] { }; ProgVar @["input_data"] { }; ProgVar @["FlatNetwork"] { }; }; ProgVar_List @.vars = [18] { ProgVar @["phase_no"] { }; ProgVar @["update_net_view"] { }; ProgVar @["NLoops"] { }; ProgVar @["actM0"] { }; ProgVar @["Motor_Cortex"] { }; ProgVar @["actM1"] { }; ProgVar @["actM2"] { }; ProgVar @["actM3"] { }; ProgVar @["actM4"] { }; ProgVar @["actM5"] { }; ProgVar @["actM6"] { }; ProgVar @["actM7"] { }; ProgVar @["action"] { }; ProgVar @["r1"] { }; ProgVar @["r2"] { }; ProgVar @["r3"] { }; ProgVar @["r4"] { }; ProgVar @["Output"] { }; }; Function_List @.functions = [0] { }; ProgEl_List @.init_code = [1] { NetCounterInit @[0] { }; }; ProgEl_List @.prog_code = [20] { NetCounterInit @[0] { }; MethodCall @[1] { ProgArg_List @.meth_args = [0] { }; }; WhileLoop @[2] { ProgEl_List @.loop_code = [3] { ProgramCall @[0] { ProgArg_List @.prog_args = [3] { ProgArg @[0] { }; ProgArg @[1] { }; ProgArg @[2] { }; }; }; NetCounterIncr @[1] { }; MethodCall @[2] { ProgArg_List @.meth_args = [0] { }; }; }; }; AssignExpr @[3] { }; AssignExpr @[4] { }; AssignExpr @[5] { }; AssignExpr @[6] { }; AssignExpr @[7] { }; AssignExpr @[8] { }; AssignExpr @[9] { }; AssignExpr @[10] { }; AssignExpr @[11] { }; AssignExpr @[12] { }; AssignExpr @[13] { }; AssignExpr @[14] { }; AssignExpr @[15] { }; If @[16] { ProgEl_List @.true_code = [2] { If @[0] { ProgEl_List @.true_code = [2] { AssignExpr @[0] { }; AssignExpr @[1] { }; }; }; Else @[1] { ProgEl_List @.else_code = [2] { If @[0] { ProgEl_List @.true_code = [2] { AssignExpr @[0] { }; AssignExpr @[1] { }; }; }; Else @[1] { ProgEl_List @.else_code = [2] { If @[0] { ProgEl_List @.true_code = [2] { AssignExpr @[0] { }; AssignExpr @[1] { }; }; }; Else @[1] { ProgEl_List @.else_code = [2] { AssignExpr @[0] { }; AssignExpr @[1] { }; }; }; }; }; }; }; }; }; MethodCall @[17] { ProgArg_List @.meth_args = [0] { }; }; ProgramCall @[18] { ProgArg_List @.prog_args = [1] { ProgArg @[0] { }; }; }; NetUpdateView @[19] { }; }; }; Program @["LeabraSettle"] { UserDataItem_List @*(.user_data_) { UserData_DocLink @["DocLink"] { }; }; ProgObjList @.objs = [0] { }; ProgType_List @.types = [0] { }; ProgVar_List @.args = [3] { ProgVar @["network"] { }; ProgVar @["FlatNetwork"] { }; ProgVar @["input_data"] { }; }; ProgVar_List @.vars = [6] { ProgVar @["cycle"] { }; ProgVar @["min_cycles"] { }; ProgVar @["update_net_view"] { }; ProgVar @["Output"] { }; ProgVar @["Thal"] { }; ProgVar @["NLoops"] { }; }; Function_List @.functions = [0] { }; ProgEl_List @.init_code = [1] { NetCounterInit @[0] { }; }; ProgEl_List @.prog_code = [16] { NetCounterInit @[0] { }; AssignExpr @[1] { }; AssignExpr @[2] { }; MethodCall @[3] { ProgArg_List @.meth_args = [0] { }; }; ProgramCall @[4] { ProgArg_List @.prog_args = [2] { ProgArg @[0] { }; ProgArg @[1] { }; }; }; ProgramCall @[5] { ProgArg_List @.prog_args = [2] { ProgArg @[0] { }; ProgArg @[1] { }; }; }; If @[6] { ProgEl_List @.true_code = [1] { MemberAssign @[0] { }; }; }; Else @[7] { ProgEl_List @.else_code = [1] { MemberAssign @[0] { }; }; }; MethodCall @[8] { ProgArg_List @.meth_args = [0] { }; }; If @[9] { ProgEl_List @.true_code = [1] { AssignExpr @[0] { }; }; }; Else @[10] { ProgEl_List @.else_code = [1] { AssignExpr @[0] { }; }; }; WhileLoop @[11] { ProgEl_List @.loop_code = [7] { ProgramCall @[0] { ProgArg_List @.prog_args = [1] { ProgArg @[0] { }; }; }; NetCounterIncr @[1] { }; IfContinue @[2] { }; IfBreak @[3] { }; If @[4] { ProgEl_List @.true_code = [2] { PrintExpr @[0] { }; PrintExpr @[1] { }; }; }; IfBreak @[5] { }; IfBreak @[6] { }; }; }; MethodCall @[12] { ProgArg_List @.meth_args = [0] { }; }; If @[13] { ProgEl_List @.true_code = [1] { MethodCall @[0] { ProgArg_List @.meth_args = [0] { }; }; }; }; If @[14] { ProgEl_List @.true_code = [1] { MethodCall @[0] { ProgArg_List @.meth_args = [0] { }; }; }; }; NetUpdateView @[15] { }; }; }; Program @["LeabraCycle"] { UserDataItem_List @*(.user_data_) { UserData_DocLink @["DocLink"] { }; }; ProgObjList @.objs = [0] { }; ProgType_List @.types = [0] { }; ProgVar_List @.args = [1] { ProgVar @["network"] { }; }; ProgVar_List @.vars = [2] { ProgVar @["update_net_view"] { }; ProgVar @["i"] { }; }; Function_List @.functions = [0] { }; ProgEl_List @.init_code = [0] { }; ProgEl_List @.prog_code = [5] { MethodCall @[0] { ProgArg_List @.meth_args = [0] { }; }; NetUpdateView @[1] { }; If @[2] { ProgEl_List @.true_code = [1] { ForLoop @[0] { ProgEl_List @.loop_code = [2] { MemberAssign @[0] { }; PrintExpr @[1] { }; }; }; }; }; Else @[3] { ProgEl_List @.else_code = [1] { ForLoop @[0] { ProgEl_List @.loop_code = [0] { }; }; }; }; ProgramCall @[4] { ProgArg_List @.prog_args = [1] { ProgArg @[0] { }; }; }; }; }; Program @["ApplyInput1"] { UserDataItem_List @*(.user_data_) { UserData_DocLink @["DocLink"] { }; }; ProgObjList @.objs = [1] { LayerWriter @["LayerWriter_0"] { LayerDataEl_List @.layer_data = [6] { LayerWriterEl @[0] { }; LayerWriterEl @[1] { }; LayerWriterEl @[2] { }; LayerWriterEl @[3] { }; LayerWriterEl @[4] { }; LayerWriterEl @[5] { }; }; }; }; ProgType_List @.types = [0] { }; ProgVar_List @.args = [2] { ProgVar @["network"] { }; ProgVar @["input_data"] { }; }; ProgVar_List @.vars = [1] { ProgVar @["LayerWriter_0"] { }; }; Function_List @.functions = [0] { }; ProgEl_List @.init_code = [2] { MethodCall @[0] { ProgArg_List @.meth_args = [2] { ProgArg @[0] { }; ProgArg @[1] { }; }; }; MethodCall @[1] { ProgArg_List @.meth_args = [1] { ProgArg @[0] { }; }; }; }; ProgEl_List @.prog_code = [2] { MethodCall @[0] { ProgArg_List @.meth_args = [2] { ProgArg @[0] { }; ProgArg @[1] { }; }; }; MethodCall @[1] { ProgArg_List @.meth_args = [0] { }; }; }; }; Program @["LeabraTrialMonitor"] { UserDataItem_List @*(.user_data_) { UserData_DocLink @["DocLink"] { }; }; ProgObjList @.objs = [1] { NetMonitor @["trial_netmon"] { NetMonItem_List @.items = [8] { NetMonItem @["batch"] { }; NetMonItem @["epoch"] { }; NetMonItem @["trial_name"] { }; NetMonItem @["minus_cycles"] { }; NetMonItem @["r1"] { }; NetMonItem @["r2"] { }; NetMonItem @["r3"] { }; NetMonItem @["r4"] { }; }; }; }; ProgType_List @.types = [0] { }; ProgVar_List @.args = [1] { ProgVar @["network"] { }; }; ProgVar_List @.vars = [2] { ProgVar @["trial_mon_data"] { }; ProgVar @["trial_netmon"] { }; }; Function_List @.functions = [0] { }; ProgEl_List @.init_code = [3] { MethodCall @[0] { ProgArg_List @.meth_args = [2] { ProgArg @[0] { }; ProgArg @[1] { }; }; }; MethodCall @[1] { ProgArg_List @.meth_args = [1] { ProgArg @[0] { }; }; }; MethodCall @[2] { ProgArg_List @.meth_args = [1] { ProgArg @[0] { }; }; }; }; ProgEl_List @.prog_code = [4] { MethodCall @[0] { ProgArg_List @.meth_args = [0] { }; }; MethodCall @[1] { ProgArg_List @.meth_args = [0] { }; }; MethodCall @[2] { ProgArg_List @.meth_args = [0] { }; }; MethodCall @[3] { ProgArg_List @.meth_args = [2] { ProgArg @[0] { }; ProgArg @[1] { }; }; }; }; }; Program @["Cycle_Monitor"] { UserDataItem_List @*(.user_data_) { UserData_DocLink @["DocLink"] { }; }; ProgObjList @.objs = [1] { NetMonitor @["cycle_netmon"] { NetMonItem_List @.items = [17] { NetMonItem @["batch"] { }; NetMonItem @["epoch"] { }; NetMonItem @["trial"] { }; NetMonItem @["trial_name"] { }; NetMonItem @["group_name"] { }; NetMonItem @["phase_no"] { }; NetMonItem @["cycle"] { }; NetMonItem @["sse"] { }; NetMonItem @["ext_rew"] { }; NetMonItem @["STN_acts_avg"] { }; NetMonItem @["Motor__acts_avg"] { }; NetMonItem @["Thalam_acts_avg"] { }; NetMonItem @["GP_Int_acts_avg"] { }; NetMonItem @["GP_Ext_acts_avg"] { }; NetMonItem @["Output_act"] { }; NetMonItem @["Output_units_0_act"] { }; NetMonItem @["SNc_acts_avg"] { }; }; }; }; ProgType_List @.types = [0] { }; ProgVar_List @.args = [1] { ProgVar @["network"] { }; }; ProgVar_List @.vars = [2] { ProgVar @["cycle_mon_data"] { }; ProgVar @["cycle_netmon"] { }; }; Function_List @.functions = [0] { }; ProgEl_List @.init_code = [3] { MethodCall @[0] { ProgArg_List @.meth_args = [2] { ProgArg @[0] { }; ProgArg @[1] { }; }; }; MethodCall @[1] { ProgArg_List @.meth_args = [1] { ProgArg @[0] { }; }; }; MethodCall @[2] { ProgArg_List @.meth_args = [1] { ProgArg @[0] { }; }; }; }; ProgEl_List @.prog_code = [5] { IfReturn @[0] { }; MethodCall @[1] { ProgArg_List @.meth_args = [0] { }; }; MethodCall @[2] { ProgArg_List @.meth_args = [0] { }; }; MethodCall @[3] { ProgArg_List @.meth_args = [0] { }; }; MethodCall @[4] { ProgArg_List @.meth_args = [2] { ProgArg @[0] { }; ProgArg @[1] { }; }; }; }; }; Program @["LeabraEpochMonitor"] { UserDataItem_List @*(.user_data_) { UserData_DocLink @["DocLink"] { }; }; ProgObjList @.objs = [1] { NetMonitor @["epoch_netmon"] { NetMonItem_List @.items = [6] { NetMonItem @["batch"] { }; NetMonItem @["epoch"] { }; NetMonItem @["avg_sse"] { }; NetMonItem @["cnt_err"] { }; NetMonItem @["avg_ext_rew"] { }; NetMonItem @["avg_cycles"] { }; }; }; }; ProgType_List @.types = [0] { }; ProgVar_List @.args = [2] { ProgVar @["network"] { }; ProgVar @["FlatNetwork"] { }; }; ProgVar_List @.vars = [3] { ProgVar @["epoch_mon_data"] { }; ProgVar @["epoch_netmon"] { }; ProgVar @["epoch_timer"] { }; }; Function_List @.functions = [0] { }; ProgEl_List @.init_code = [4] { AssignExpr @[0] { }; MethodCall @[1] { ProgArg_List @.meth_args = [2] { ProgArg @[0] { }; ProgArg @[1] { }; }; }; MethodCall @[2] { ProgArg_List @.meth_args = [1] { ProgArg @[0] { }; }; }; MethodCall @[3] { ProgArg_List @.meth_args = [1] { ProgArg @[0] { }; }; }; }; ProgEl_List @.prog_code = [4] { MethodCall @[0] { ProgArg_List @.meth_args = [0] { }; }; MethodCall @[1] { ProgArg_List @.meth_args = [0] { }; }; AssignExpr @[2] { }; MethodCall @[3] { ProgArg_List @.meth_args = [0] { }; }; }; }; Program @["LeabraEpochMonitor_10"] { UserDataItem_List @*(.user_data_) { UserData_DocLink @["DocLink"] { }; }; ProgObjList @.objs = [1] { NetMonitor @["epoch_netmon"] { NetMonItem_List @.items = [6] { NetMonItem @["batch"] { }; NetMonItem @["epoch"] { }; NetMonItem @["avg_sse"] { }; NetMonItem @["cnt_err"] { }; NetMonItem @["avg_ext_rew"] { }; NetMonItem @["avg_cycles"] { }; }; }; }; ProgType_List @.types = [0] { }; ProgVar_List @.args = [2] { ProgVar @["network"] { }; ProgVar @["FlatNetwork"] { }; }; ProgVar_List @.vars = [3] { ProgVar @["epoch_mon_data"] { }; ProgVar @["epoch_netmon"] { }; ProgVar @["epoch_timer"] { }; }; Function_List @.functions = [0] { }; ProgEl_List @.init_code = [4] { AssignExpr @[0] { }; MethodCall @[1] { ProgArg_List @.meth_args = [2] { ProgArg @[0] { }; ProgArg @[1] { }; }; }; MethodCall @[2] { ProgArg_List @.meth_args = [1] { ProgArg @[0] { }; }; }; MethodCall @[3] { ProgArg_List @.meth_args = [1] { ProgArg @[0] { }; }; }; }; ProgEl_List @.prog_code = [4] { MethodCall @[0] { ProgArg_List @.meth_args = [0] { }; }; MethodCall @[1] { ProgArg_List @.meth_args = [0] { }; }; AssignExpr @[2] { }; MethodCall @[3] { ProgArg_List @.meth_args = [0] { }; }; }; }; Program @["SaveWeights"] { UserDataItem_List @*(.user_data_) { UserData_DocLink @["DocLink"] { }; }; ProgObjList @.objs = [0] { }; ProgType_List @.types = [0] { }; ProgVar_List @.args = [1] { ProgVar @["network"] { }; }; ProgVar_List @.vars = [6] { ProgVar @["tag"] { }; ProgVar @["wts_subdir"] { }; ProgVar @["fname"] { }; ProgVar @["epoch_str"] { }; ProgVar @["batch_str"] { }; ProgVar @["final_tag"] { }; }; Function_List @.functions = [0] { }; ProgEl_List @.init_code = [0] { }; ProgEl_List @.prog_code = [6] { IfReturn @[0] { }; MiscCall @[1] { ProgArg_List @.meth_args = [2] { ProgArg @[0] { }; ProgArg @[1] { }; }; }; MiscCall @[2] { ProgArg_List @.meth_args = [2] { ProgArg @[0] { }; ProgArg @[1] { }; }; }; AssignExpr @[3] { }; MethodCall @[4] { ProgArg_List @.meth_args = [4] { ProgArg @[0] { }; ProgArg @[1] { }; ProgArg @[2] { }; ProgArg @[3] { }; }; }; MethodCall @[5] { ProgArg_List @.meth_args = [2] { ProgArg @[0] { }; ProgArg @[1] { }; }; }; }; }; Program @["DA_Rew_Punish"] { UserDataItem_List @*(.user_data_) { UserData_DocLink @["DocLink"] { }; }; ProgObjList @.objs = [0] { }; ProgType_List @.types = [0] { }; ProgVar_List @.args = [2] { ProgVar @["network"] { }; ProgVar @["input_data"] { }; }; ProgVar_List @.vars = [28] { ProgVar @["num_intact_snc_units"] { }; ProgVar @["snc_size"] { }; ProgVar @["k"] { }; ProgVar @["sse"] { }; ProgVar @["bias"] { }; ProgVar @["act0"] { }; ProgVar @["act1"] { }; ProgVar @["actM0"] { }; ProgVar @["actM1"] { }; ProgVar @["actM2"] { }; ProgVar @["actM3"] { }; ProgVar @["actM4"] { }; ProgVar @["actM5"] { }; ProgVar @["actM6"] { }; ProgVar @["actM7"] { }; ProgVar @["SNc"] { }; ProgVar @["Output"] { }; ProgVar @["Motor_Cortex"] { }; ProgVar @["i"] { }; ProgVar @["TS"] { }; ProgVar @["action"] { }; ProgVar @["tonic_DA"] { }; ProgVar @["op_sse"] { }; ProgVar @["DA_dip_val"] { }; ProgVar @["DA_burst_val"] { }; ProgVar @["biasValue"] { }; ProgVar @["biasInHid"] { }; ProgVar @["cycle"] { }; }; Function_List @.functions = [0] { }; ProgEl_List @.init_code = [0] { }; ProgEl_List @.prog_code = [8] { AssignExpr @[0] { }; AssignExpr @[1] { }; AssignExpr @[2] { }; AssignExpr @[3] { }; AssignExpr @[4] { }; AssignExpr @[5] { }; If @[6] { ProgEl_List @.true_code = [21] { AssignExpr @[0] { }; AssignExpr @[1] { }; AssignExpr @[2] { }; AssignExpr @[3] { }; AssignExpr @[4] { }; AssignExpr @[5] { }; AssignExpr @[6] { }; AssignExpr @[7] { }; MemberAssign @[8] { }; MemberAssign @[9] { }; MemberAssign @[10] { }; MemberAssign @[11] { }; MemberAssign @[12] { }; MemberAssign @[13] { }; MemberAssign @[14] { }; MemberAssign @[15] { }; ForLoop @[16] { ProgEl_List @.loop_code = [1] { CssExpr @[0] { }; }; }; AssignExpr @[17] { }; If @[18] { ProgEl_List @.true_code = [2] { If @[0] { ProgEl_List @.true_code = [3] { CssExpr @[0] { }; CssExpr @[1] { }; AssignExpr @[2] { }; }; }; Else @[1] { ProgEl_List @.else_code = [2] { If @[0] { ProgEl_List @.true_code = [3] { CssExpr @[0] { }; CssExpr @[1] { }; AssignExpr @[2] { }; }; }; Else @[1] { ProgEl_List @.else_code = [2] { If @[0] { ProgEl_List @.true_code = [3] { CssExpr @[0] { }; CssExpr @[1] { }; AssignExpr @[2] { }; }; }; Else @[1] { ProgEl_List @.else_code = [3] { CssExpr @[0] { }; CssExpr @[1] { }; AssignExpr @[2] { }; }; }; }; }; }; }; }; }; If @[19] { ProgEl_List @.true_code = [2] { If @[0] { ProgEl_List @.true_code = [2] { If @[0] { ProgEl_List @.true_code = [1] { ForLoop @[0] { ProgEl_List @.loop_code = [1] { MemberAssign @[0] { }; }; }; }; }; Else @[1] { ProgEl_List @.else_code = [1] { ForLoop @[0] { ProgEl_List @.loop_code = [1] { MemberAssign @[0] { }; }; }; }; }; }; }; Else @[1] { ProgEl_List @.else_code = [2] { If @[0] { ProgEl_List @.true_code = [2] { If @[0] { ProgEl_List @.true_code = [1] { ForLoop @[0] { ProgEl_List @.loop_code = [1] { MemberAssign @[0] { }; }; }; }; }; Else @[1] { ProgEl_List @.else_code = [1] { ForLoop @[0] { ProgEl_List @.loop_code = [1] { MemberAssign @[0] { }; }; }; }; }; }; }; Else @[1] { ProgEl_List @.else_code = [1] { ForLoop @[0] { ProgEl_List @.loop_code = [1] { MemberAssign @[0] { }; }; }; }; }; }; }; }; }; Else @[20] { ProgEl_List @.else_code = [1] { If @[0] { ProgEl_List @.true_code = [2] { If @[0] { ProgEl_List @.true_code = [2] { If @[0] { ProgEl_List @.true_code = [1] { ForLoop @[0] { ProgEl_List @.loop_code = [1] { MemberAssign @[0] { }; }; }; }; }; Else @[1] { ProgEl_List @.else_code = [1] { ForLoop @[0] { ProgEl_List @.loop_code = [1] { MemberAssign @[0] { }; }; }; }; }; }; }; Else @[1] { ProgEl_List @.else_code = [2] { If @[0] { ProgEl_List @.true_code = [2] { If @[0] { ProgEl_List @.true_code = [1] { ForLoop @[0] { ProgEl_List @.loop_code = [1] { MemberAssign @[0] { }; }; }; }; }; Else @[1] { ProgEl_List @.else_code = [1] { ForLoop @[0] { ProgEl_List @.loop_code = [1] { MemberAssign @[0] { }; }; }; }; }; }; }; Else @[1] { ProgEl_List @.else_code = [1] { ForLoop @[0] { ProgEl_List @.loop_code = [1] { MemberAssign @[0] { }; }; }; }; }; }; }; }; }; }; }; }; }; Else @[7] { ProgEl_List @.else_code = [6] { ForLoop @[0] { ProgEl_List @.loop_code = [1] { CssExpr @[0] { }; }; }; If @[1] { ProgEl_List @.true_code = [4] { CssExpr @[0] { }; CssExpr @[1] { }; CssExpr @[2] { }; CssExpr @[3] { }; }; }; If @[2] { ProgEl_List @.true_code = [4] { CssExpr @[0] { }; CssExpr @[1] { }; CssExpr @[2] { }; CssExpr @[3] { }; }; }; If @[3] { ProgEl_List @.true_code = [4] { CssExpr @[0] { }; CssExpr @[1] { }; If @[2] { ProgEl_List @.true_code = [2] { CssExpr @[0] { }; CssExpr @[1] { }; }; }; Else @[3] { ProgEl_List @.else_code = [2] { CssExpr @[0] { }; CssExpr @[1] { }; }; }; }; }; If @[4] { ProgEl_List @.true_code = [4] { CssExpr @[0] { }; CssExpr @[1] { }; If @[2] { ProgEl_List @.true_code = [2] { CssExpr @[0] { }; CssExpr @[1] { }; }; }; Else @[3] { ProgEl_List @.else_code = [2] { CssExpr @[0] { }; CssExpr @[1] { }; }; }; }; }; ForLoop @[5] { ProgEl_List @.loop_code = [1] { MemberAssign @[0] { }; }; }; }; }; }; }; }; }; taViewer_List @.viewers = [1] { MainWindowViewer @["Browser"] { UserDataItem_List @*(.user_data_) { UserDataItem @["view_win_lft"] { }; UserDataItem @["view_win_top"] { }; UserDataItem @["view_win_wd"] { }; UserDataItem @["view_win_ht"] { }; UserDataItem @["view_win_iconified"] { }; UserDataItem @["view_splitter_state"] { }; }; ToolBar_List @.toolbars = [1] { ToolBar @["Application"] { UserDataItem_List @*(.user_data_) { UserDataItem @["view_win_visible"] { }; }; }; }; FrameViewer_List @.frames = [3] { BrowseViewerTaBase @["Navigator"] { }; PanelViewer @["Editor"] { }; T3PanelViewer @["T3Frames"] { UserDataItem_List @*(.user_data_) { UserDataItem @["view_panel_selected"] { }; }; T3Panel_List @.panels = [2] { T3Panel @["BGLoop1"] { T3DataView_List @.root_view.children = [1] { NetView @["BG_4s_View"] { T3Annotation_List @.annotations = [0] { }; T3DataView_List @.annote_children = [0] { }; ScaleRange_List @.scale_ranges = [11] { ScaleRange @[0] { }; ScaleRange @[1] { }; ScaleRange @[2] { }; ScaleRange @[3] { }; ScaleRange @[4] { }; ScaleRange @[5] { }; ScaleRange @[6] { }; ScaleRange @[7] { }; ScaleRange @[8] { }; ScaleRange @[9] { }; ScaleRange @[10] { }; }; }; }; T3SavedView_List @.saved_views = [10] { T3SavedView @["Vw_0"] { }; T3SavedView @["Vw_1"] { }; T3SavedView @["Vw_2"] { }; T3SavedView @["Vw_3"] { }; T3SavedView @["Vw_4"] { }; T3SavedView @["Vw_5"] { }; T3SavedView @["Vw_6"] { }; T3SavedView @["Vw_7"] { }; T3SavedView @["Vw_8"] { }; T3SavedView @["Vw_9"] { }; }; }; T3Panel @["Stats"] { T3DataView_List @.root_view.children = [1] { GraphTableView @["Stats_Graph"] { T3DataView_List @.children = [9] { GraphColView @["trial_name_group_group"] { }; GraphColView @["r1_mean_mean"] { }; GraphColView @["r1_mean_sem"] { }; GraphColView @["r2_mean_mean"] { }; GraphColView @["r2_mean_sem"] { }; GraphColView @["r3_mean_mean"] { }; GraphColView @["r3_mean_sem"] { }; GraphColView @["r4_mean_mean"] { }; GraphColView @["r4_mean_sem"] { }; }; T3Annotation_List @.annotations = [0] { }; T3DataView_List @.annote_children = [0] { }; GraphPlotView_List @.plots = [16] { GraphPlotView @["Stats_Graph_plot_1"] { }; GraphPlotView @["Stats_Graph_plot_2"] { }; GraphPlotView @["Stats_Graph_plot_3"] { }; GraphPlotView @["Stats_Graph_plot_4"] { }; GraphPlotView @["Stats_Graph_plot_5"] { }; GraphPlotView @["Stats_Graph_plot_6"] { }; GraphPlotView @["Stats_Graph_plot_7"] { }; GraphPlotView @["Stats_Graph_plot_8"] { }; GraphPlotView @["Stats_Graph_plot_9"] { }; GraphPlotView @["Stats_Graph_plot_10"] { }; GraphPlotView @["Stats_Graph_plot_11"] { }; GraphPlotView @["Stats_Graph_plot_12"] { }; GraphPlotView @["Stats_Graph_plot_13"] { }; GraphPlotView @["Stats_Graph_plot_14"] { }; GraphPlotView @["Stats_Graph_plot_15"] { }; GraphPlotView @["Stats_Graph_plot_16"] { }; }; GraphPlotView_List @.errbars = [16] { GraphPlotView @["Stats_Graph_err_1"] { }; GraphPlotView @["Stats_Graph_err_2"] { }; GraphPlotView @["Stats_Graph_err_3"] { }; GraphPlotView @["Stats_Graph_err_4"] { }; GraphPlotView @["Stats_Graph_err_5"] { }; GraphPlotView @["Stats_Graph_err_6"] { }; GraphPlotView @["Stats_Graph_err_7"] { }; GraphPlotView @["Stats_Graph_err_8"] { }; GraphPlotView @["Stats_Graph_err_9"] { }; GraphPlotView @["Stats_Graph_err_10"] { }; GraphPlotView @["Stats_Graph_err_11"] { }; GraphPlotView @["Stats_Graph_err_12"] { }; GraphPlotView @["Stats_Graph_err_13"] { }; GraphPlotView @["Stats_Graph_err_14"] { }; GraphPlotView @["Stats_Graph_err_15"] { }; GraphPlotView @["Stats_Graph_err_16"] { }; }; }; }; T3SavedView_List @.saved_views = [10] { T3SavedView @["Vw_0"] { }; T3SavedView @["Vw_1"] { }; T3SavedView @["Vw_2"] { }; T3SavedView @["Vw_3"] { }; T3SavedView @["Vw_4"] { }; T3SavedView @["Vw_5"] { }; T3SavedView @["Vw_6"] { }; T3SavedView @["Vw_7"] { }; T3SavedView @["Vw_8"] { }; T3SavedView @["Vw_9"] { }; }; }; }; }; }; DockViewer_List @.docks = [1] { ToolBoxDockViewer @["Tools"] { UserDataItem_List @*(.user_data_) { UserDataItem @["view_win_lft"] { }; UserDataItem @["view_win_top"] { }; UserDataItem @["view_win_wd"] { }; UserDataItem @["view_win_ht"] { }; UserDataItem @["view_win_iconified"] { }; UserDataItem @["view_visible"] { }; }; }; }; }; }; Network_Group @.networks = [1] { LeabraNetwork @["BG_4s"] { UserDataItem_List @*(.user_data_) { UserDataItem @["trial_cos_diff"] { }; UserDataItem @["avg_act_diff"] { }; UserDataItem @["cos_diff"] { }; UserDataItem @["cos_err"] { }; UserDataItem @["norm_err"] { }; UserDataItem @["ext_rew"] { }; UserDataItem @["maxda"] { }; UserDataItem @["minus_output_name"] { }; UserDataItem @["minus_cycles"] { }; UserDataItem @["ct_cycle"] { }; UserDataItem @["phase_no"] { }; UserDataItem @["phase"] { }; UserDataItem @["sse"] { }; UserDataItem @["output_name"] { }; UserDataItem @["trial_name"] { }; UserDataItem @["group_name"] { }; UserDataItem @["time"] { }; UserDataItem @["cycle"] { }; UserDataItem @["tick"] { }; UserDataItem @["trial"] { }; UserDataItem @["group"] { }; UserDataItem @["epoch"] { }; UserDataItem @["batch"] { }; }; BaseSpec_Group @.specs = [3] { ConSpec @["ConSpec_0"] { BaseSpec_Group @.children = [0] { }; }; UniformRndPrjnSpec @["STN_GPe_RndPrjnSpec_0"] { BaseSpec_Group @.children = [0] { }; }; UniformRndPrjnSpec @["GPe_STN_RndPrjnSpec_1"] { BaseSpec_Group @.children = [0] { }; }; BaseSpec_Group @.gp["LeabraPrjns"] = [20] { FullPrjnSpec @["FullPrjnSpec_0"] { BaseSpec_Group @.children = [0] { }; }; OneToOnePrjnSpec @["OneToOne"] { BaseSpec_Group @.children = [0] { }; }; TesselPrjnSpec @["Striatum_GPext"] { BaseSpec_Group @.children = [1] { TesselPrjnSpec @["PFC_Act_Deep"] { BaseSpec_Group @.children = [0] { }; TessEl_List @.send_offs = [18] { TessEl @[0] { }; TessEl @[1] { }; TessEl @[2] { }; TessEl @[3] { }; TessEl @[4] { }; TessEl @[5] { }; TessEl @[6] { }; TessEl @[7] { }; TessEl @[8] { }; TessEl @[9] { }; TessEl @[10] { }; TessEl @[11] { }; TessEl @[12] { }; TessEl @[13] { }; TessEl @[14] { }; TessEl @[15] { }; TessEl @[16] { }; TessEl @[17] { }; }; }; }; TessEl_List @.send_offs = [18] { TessEl @[0] { }; TessEl @[1] { }; TessEl @[2] { }; TessEl @[3] { }; TessEl @[4] { }; TessEl @[5] { }; TessEl @[6] { }; TessEl @[7] { }; TessEl @[8] { }; TessEl @[9] { }; TessEl @[10] { }; TessEl @[11] { }; TessEl @[12] { }; TessEl @[13] { }; TessEl @[14] { }; TessEl @[15] { }; TessEl @[16] { }; TessEl @[17] { }; }; }; TesselPrjnSpec @["Striatum2_GPext2"] { BaseSpec_Group @.children = [1] { TesselPrjnSpec @["PFC_Act_Deep"] { BaseSpec_Group @.children = [0] { }; TessEl_List @.send_offs = [18] { TessEl @[0] { }; TessEl @[1] { }; TessEl @[2] { }; TessEl @[3] { }; TessEl @[4] { }; TessEl @[5] { }; TessEl @[6] { }; TessEl @[7] { }; TessEl @[8] { }; TessEl @[9] { }; TessEl @[10] { }; TessEl @[11] { }; TessEl @[12] { }; TessEl @[13] { }; TessEl @[14] { }; TessEl @[15] { }; TessEl @[16] { }; TessEl @[17] { }; }; }; }; TessEl_List @.send_offs = [18] { TessEl @[0] { }; TessEl @[1] { }; TessEl @[2] { }; TessEl @[3] { }; TessEl @[4] { }; TessEl @[5] { }; TessEl @[6] { }; TessEl @[7] { }; TessEl @[8] { }; TessEl @[9] { }; TessEl @[10] { }; TessEl @[11] { }; TessEl @[12] { }; TessEl @[13] { }; TessEl @[14] { }; TessEl @[15] { }; TessEl @[16] { }; TessEl @[17] { }; }; }; TesselPrjnSpec @["dMatrosomesGP"] { BaseSpec_Group @.children = [0] { }; TessEl_List @.send_offs = [9] { TessEl @[0] { }; TessEl @[1] { }; TessEl @[2] { }; TessEl @[3] { }; TessEl @[4] { }; TessEl @[5] { }; TessEl @[6] { }; TessEl @[7] { }; TessEl @[8] { }; }; }; TesselPrjnSpec @["SNc_GO"] { BaseSpec_Group @.children = [0] { }; TessEl_List @.send_offs = [12] { TessEl @[0] { }; TessEl @[1] { }; TessEl @[2] { }; TessEl @[3] { }; TessEl @[4] { }; TessEl @[5] { }; TessEl @[6] { }; TessEl @[7] { }; TessEl @[8] { }; TessEl @[9] { }; TessEl @[10] { }; TessEl @[11] { }; }; }; TesselPrjnSpec @["SNc_GO2"] { BaseSpec_Group @.children = [0] { }; TessEl_List @.send_offs = [12] { TessEl @[0] { }; TessEl @[1] { }; TessEl @[2] { }; TessEl @[3] { }; TessEl @[4] { }; TessEl @[5] { }; TessEl @[6] { }; TessEl @[7] { }; TessEl @[8] { }; TessEl @[9] { }; TessEl @[10] { }; TessEl @[11] { }; }; }; TesselPrjnSpec @["Context_TS_1"] { BaseSpec_Group @.children = [0] { }; TessEl_List @.send_offs = [6] { TessEl @[0] { }; TessEl @[1] { }; TessEl @[2] { }; TessEl @[3] { }; TessEl @[4] { }; TessEl @[5] { }; }; }; TesselPrjnSpec @["Context_TS_2"] { BaseSpec_Group @.children = [0] { }; TessEl_List @.send_offs = [6] { TessEl @[0] { }; TessEl @[1] { }; TessEl @[2] { }; TessEl @[3] { }; TessEl @[4] { }; TessEl @[5] { }; }; }; TesselPrjnSpec @["Context_TS_3"] { BaseSpec_Group @.children = [0] { }; TessEl_List @.send_offs = [6] { TessEl @[0] { }; TessEl @[1] { }; TessEl @[2] { }; TessEl @[3] { }; TessEl @[4] { }; TessEl @[5] { }; }; }; TesselPrjnSpec @["MotorCortex_Striatum"] { BaseSpec_Group @.children = [0] { }; TessEl_List @.send_offs = [2] { TessEl @[0] { }; TessEl @[1] { }; }; }; TesselPrjnSpec @["SNc_NOGO"] { BaseSpec_Group @.children = [0] { }; TessEl_List @.send_offs = [12] { TessEl @[0] { }; TessEl @[1] { }; TessEl @[2] { }; TessEl @[3] { }; TessEl @[4] { }; TessEl @[5] { }; TessEl @[6] { }; TessEl @[7] { }; TessEl @[8] { }; TessEl @[9] { }; TessEl @[10] { }; TessEl @[11] { }; }; }; TesselPrjnSpec @["SNc_NOGO2"] { BaseSpec_Group @.children = [0] { }; TessEl_List @.send_offs = [12] { TessEl @[0] { }; TessEl @[1] { }; TessEl @[2] { }; TessEl @[3] { }; TessEl @[4] { }; TessEl @[5] { }; TessEl @[6] { }; TessEl @[7] { }; TessEl @[8] { }; TessEl @[9] { }; TessEl @[10] { }; TessEl @[11] { }; }; }; TesselPrjnSpec @["Striatum_GPInt"] { BaseSpec_Group @.children = [0] { }; TessEl_List @.send_offs = [18] { TessEl @[0] { }; TessEl @[1] { }; TessEl @[2] { }; TessEl @[3] { }; TessEl @[4] { }; TessEl @[5] { }; TessEl @[6] { }; TessEl @[7] { }; TessEl @[8] { }; TessEl @[9] { }; TessEl @[10] { }; TessEl @[11] { }; TessEl @[12] { }; TessEl @[13] { }; TessEl @[14] { }; TessEl @[15] { }; TessEl @[16] { }; TessEl @[17] { }; }; }; TesselPrjnSpec @["Fm_Stimuli_To_MC"] { BaseSpec_Group @.children = [0] { }; TessEl_List @.send_offs = [6] { TessEl @[0] { }; TessEl @[1] { }; TessEl @[2] { }; TessEl @[3] { }; TessEl @[4] { }; TessEl @[5] { }; }; }; TesselPrjnSpec @["MotorCortex2_NoGoStriatum2"] { BaseSpec_Group @.children = [0] { }; TessEl_List @.send_offs = [4] { TessEl @[0] { }; TessEl @[1] { }; TessEl @[2] { }; TessEl @[3] { }; }; }; TesselPrjnSpec @["MotorCortex_NoGoStriatum"] { BaseSpec_Group @.children = [0] { }; TessEl_List @.send_offs = [4] { TessEl @[0] { }; TessEl @[1] { }; TessEl @[2] { }; TessEl @[3] { }; }; }; TesselPrjnSpec @["Fm_Output2_To_InputHidden"] { BaseSpec_Group @.children = [0] { }; TessEl_List @.send_offs = [4] { TessEl @[0] { }; TessEl @[1] { }; TessEl @[2] { }; TessEl @[3] { }; }; }; TesselPrjnSpec @["Fm_Output2_To_InputHiddenB"] { BaseSpec_Group @.children = [0] { }; TessEl_List @.send_offs = [4] { TessEl @[0] { }; TessEl @[1] { }; TessEl @[2] { }; TessEl @[3] { }; }; }; OneToOnePrjnSpec @["OneToOne_2"] { BaseSpec_Group @.children = [0] { }; }; }; BaseSpec_Group @.gp["Connections"] = [13] { LeabraBiasSpec @["LearnBias"] { BaseSpec_Group @.children = [1] { LeabraBiasSpec @["FixedBias"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; }; Schedule @.lrate_sched = [0] { }; }; LeabraConSpec @["FixedFull"] { BaseSpec_Group @.children = [1] { LeabraConSpec @["InhibCons"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; }; Schedule @.lrate_sched = [0] { }; }; LeabraConSpec @["FixedFull2"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; LeabraConSpec @["FixedFull3"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; LeabraConSpec @["FixedHalf"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; LeabraConSpec @["GPeGPiInhib"] { BaseSpec_Group @.children = [4] { LeabraConSpec @["ThalInhib"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; LeabraConSpec @["ThalInhib2"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; LeabraConSpec @["Inhib_Learn"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; LeabraConSpec @["Inhib_Learn2"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; }; Schedule @.lrate_sched = [0] { }; }; LeabraConSpec @["Fixed"] { BaseSpec_Group @.children = [13] { LeabraConSpec @["STNcons"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; LeabraConSpec @["MotorCortex_Striatum"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; LeabraConSpec @["MotorCortex2_Striatum2"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; LeabraConSpec @["Motor_NoGo"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; LeabraConSpec @["Motor_NoGo2"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; LeabraConSpec @["Input_Motor_Prepotent_"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; LeabraConSpec @["Context_Motor_prepotent"] { BaseSpec_Group @.children = [1] { LeabraConSpec @["C_TS"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; }; Schedule @.lrate_sched = [0] { }; }; LeabraConSpec @["InputStriatum"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; LeabraConSpec @["inNoGO"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; LeabraConSpec @["ContextStriatum"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; LeabraConSpec @["MC2_Striatum"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; LeabraConSpec @["STN_GPi"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; LeabraConSpec @["Mtr_STNcons"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; }; Schedule @.lrate_sched = [0] { }; }; LeabraConSpec @["Dopamine_D1_"] { BaseSpec_Group @.children = [1] { LeabraConSpec @["D1_Stronger"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; }; Schedule @.lrate_sched = [0] { }; }; LeabraConSpec @["SNc_Inhib_D2_"] { BaseSpec_Group @.children = [1] { LeabraConSpec @["D2_Stronger"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; }; Schedule @.lrate_sched = [0] { }; }; LeabraConSpec @["Inhib"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; LeabraConSpec @["NoGo_inhib_Go"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; LeabraConSpec @["InhibInhib"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; LeabraConSpec @["FBtoInhib"] { BaseSpec_Group @.children = [1] { LeabraConSpec @["FFtoInhib"] { BaseSpec_Group @.children = [0] { }; Schedule @.lrate_sched = [0] { }; }; }; Schedule @.lrate_sched = [0] { }; }; }; BaseSpec_Group @.gp["Units"] = [3] { LeabraUnitSpec @["FixedBiasUnitSpec"] { BaseSpec_Group @.children = [7] { LeabraUnitSpec @["GPExt_UnitSpec"] { BaseSpec_Group @.children = [0] { }; Schedule @.noise_sched = [0] { }; }; LeabraUnitSpec @["OutputUnitSpec"] { BaseSpec_Group @.children = [0] { }; Schedule @.noise_sched = [0] { }; }; LeabraUnitSpec @["Output3UnitSpec"] { BaseSpec_Group @.children = [0] { }; Schedule @.noise_sched = [0] { }; }; LeabraUnitSpec @["Thalamus"] { BaseSpec_Group @.children = [0] { }; Schedule @.noise_sched = [0] { }; }; LeabraUnitSpec @["LearnBiasUnitSpec"] { BaseSpec_Group @.children = [4] { LeabraUnitSpec @["matrisom_unitspec"] { BaseSpec_Group @.children = [0] { }; Schedule @.noise_sched = [0] { }; }; LeabraUnitSpec @["Motor_Cortex_unitspec"] { BaseSpec_Group @.children = [0] { }; Schedule @.noise_sched = [4] { SchedItem @[0] { }; SchedItem @[1] { }; SchedItem @[2] { }; SchedItem @[3] { }; }; }; LeabraUnitSpec @["Motor_Cortex_1_unitspec"] { BaseSpec_Group @.children = [0] { }; Schedule @.noise_sched = [4] { SchedItem @[0] { }; SchedItem @[1] { }; SchedItem @[2] { }; SchedItem @[3] { }; }; }; LeabraUnitSpec @["STN_unitspec"] { BaseSpec_Group @.children = [0] { }; Schedule @.noise_sched = [0] { }; }; }; Schedule @.noise_sched = [0] { }; }; LeabraUnitSpec @["GPInt_UnitSpec"] { BaseSpec_Group @.children = [0] { }; Schedule @.noise_sched = [0] { }; }; LeabraUnitSpec @["InhibUnits"] { BaseSpec_Group @.children = [0] { }; Schedule @.noise_sched = [0] { }; }; }; Schedule @.noise_sched = [0] { }; }; LeabraUnitSpec @["SNc_UnitSpec"] { BaseSpec_Group @.children = [0] { }; Schedule @.noise_sched = [0] { }; }; LeabraUnitSpec @["SNc_UnitSpec_slower"] { BaseSpec_Group @.children = [0] { }; Schedule @.noise_sched = [0] { }; }; }; BaseSpec_Group @.gp["LayerSpecs"] = [5] { LeabraLayerSpec @["LayerSpec_0"] { BaseSpec_Group @.children = [9] { LeabraLayerSpec @["Matrisome_layerspec"] { BaseSpec_Group @.children = [0] { }; }; LeabraLayerSpec @["GP_layerspec"] { BaseSpec_Group @.children = [0] { }; }; LeabraLayerSpec @["Thalamus_layerspec"] { BaseSpec_Group @.children = [0] { }; }; LeabraLayerSpec @["Output_layerspec"] { BaseSpec_Group @.children = [0] { }; }; LeabraLayerSpec @["PFC_Out_layerspec"] { BaseSpec_Group @.children = [0] { }; }; LeabraLayerSpec @["InputLayer"] { BaseSpec_Group @.children = [0] { }; }; LeabraLayerSpec @["SNc_layerspec"] { BaseSpec_Group @.children = [0] { }; }; LeabraLayerSpec @["MCLayerSpec"] { BaseSpec_Group @.children = [0] { }; }; LeabraLayerSpec @["MC2LayerSpec"] { BaseSpec_Group @.children = [0] { }; }; }; }; LeabraLayerSpec @["inhidden"] { BaseSpec_Group @.children = [7] { LeabraLayerSpec @["Matrisome_layerspec"] { BaseSpec_Group @.children = [0] { }; }; LeabraLayerSpec @["GP_layerspec"] { BaseSpec_Group @.children = [0] { }; }; LeabraLayerSpec @["Thalamus_layerspec"] { BaseSpec_Group @.children = [0] { }; }; LeabraLayerSpec @["Output_layerspec"] { BaseSpec_Group @.children = [0] { }; }; LeabraLayerSpec @["PFC_Out_layerspec"] { BaseSpec_Group @.children = [0] { }; }; LeabraLayerSpec @["InputLayer"] { BaseSpec_Group @.children = [0] { }; }; LeabraLayerSpec @["SNc_layerspec"] { BaseSpec_Group @.children = [0] { }; }; }; }; LeabraLayerSpec @["LayerSpec_1"] { BaseSpec_Group @.children = [7] { LeabraLayerSpec @["Matrisome_layerspec"] { BaseSpec_Group @.children = [0] { }; }; LeabraLayerSpec @["GP_layerspec"] { BaseSpec_Group @.children = [0] { }; }; LeabraLayerSpec @["Thalamus_layerspec"] { BaseSpec_Group @.children = [0] { }; }; LeabraLayerSpec @["Output_layerspec"] { BaseSpec_Group @.children = [0] { }; }; LeabraLayerSpec @["PFC_Out_layerspec"] { BaseSpec_Group @.children = [0] { }; }; LeabraLayerSpec @["InputLayer"] { BaseSpec_Group @.children = [0] { }; }; LeabraLayerSpec @["SNc_layerspec"] { BaseSpec_Group @.children = [0] { }; }; }; }; LeabraLayerSpec @["NoDecayLayers"] { BaseSpec_Group @.children = [2] { LeabraLayerSpec @["STN"] { BaseSpec_Group @.children = [0] { }; }; LeabraLayerSpec @["PFCIn_layerspec"] { BaseSpec_Group @.children = [0] { }; }; }; }; LeabraLayerSpec @["InhibLayers"] { BaseSpec_Group @.children = [0] { }; }; }; }; Layer_Group @.layers = [8] { LeabraLayer @["Input"] { Projection_Group @.projections = [0] { }; Unit_Group @.units = [18] { }; }; LeabraLayer @["GP_Int"] { Projection_Group @.projections = [2] { LeabraPrjn @["Fm_Go"] { }; LeabraPrjn @["Fm_GP_Ext"] { }; }; Unit_Group @.units = [8] { }; }; LeabraLayer @["GP_Ext"] { Projection_Group @.projections = [1] { LeabraPrjn @["Fm_NoGo"] { }; }; Unit_Group @.units = [4] { }; }; LeabraLayer @["Thalamus"] { Projection_Group @.projections = [2] { LeabraPrjn @["Fm_GP_Int"] { }; LeabraPrjn @["Fm_PMC"] { }; }; Unit_Group @.units = [4] { }; }; LeabraLayer @["PMC"] { Projection_Group @.projections = [3] { LeabraPrjn @["Fm_Thalamus"] { }; LeabraPrjn @["Fm_Context"] { }; LeabraPrjn @["Fm_Input"] { }; }; Unit_Group @.units = [8] { }; }; LeabraLayer @["Output"] { Projection_Group @.projections = [2] { LeabraPrjn @["Fm_PMC"] { }; LeabraPrjn @["Fm_PMC_1"] { }; }; Unit_Group @.units = [4] { }; }; LeabraLayer @["SNc"] { Projection_Group @.projections = [0] { }; Unit_Group @.units = [4] { }; }; LeabraLayer @["Context"] { Projection_Group @.projections = [0] { }; Unit_Group @.units = [18] { }; }; Layer_Group @.gp["Striatum"] = [3] { LeabraLayer @["Go"] { Projection_Group @.projections = [6] { LeabraPrjn @["Fm_Input"] { }; LeabraPrjn @["Fm_Context"] { }; LeabraPrjn @["Fm_Striatum_Inhib"] { }; LeabraPrjn @["Fm_SNc"] { }; LeabraPrjn @["Fm_PMC"] { }; LeabraPrjn @["Fm_NoGo"] { }; }; Unit_Group @.units = [36] { }; }; LeabraLayer @["NoGo"] { Projection_Group @.projections = [5] { LeabraPrjn @["Fm_Input"] { }; LeabraPrjn @["Fm_Context"] { }; LeabraPrjn @["Fm_Striatum_Inhib"] { }; LeabraPrjn @["Fm_SNc"] { }; LeabraPrjn @["Fm_PMC"] { }; }; Unit_Group @.units = [36] { }; }; LeabraLayer @["Striatum_Inhib"] { Projection_Group @.projections = [7] { LeabraPrjn @["Fm_Input"] { }; LeabraPrjn @["Fm_Context"] { }; LeabraPrjn @["Fm_SNc"] { }; LeabraPrjn @["Fm_PMC"] { }; LeabraPrjn @["Fm_Striatum_Inhib"] { }; LeabraPrjn @["Fm_Go"] { }; LeabraPrjn @["Fm_NoGo"] { }; }; Unit_Group @.units = [16] { }; }; }; }; Weights_List @.weights = [0] { }; }; }; }; LeabraProject .projects["BG_4s_inhib_PS_e7a"] { name="BG_4s_inhib_PS_e7a"; desc="mtrNoGoGlut: stronger glutamate projns from ctx to NoGo (mGluR!) nodecMat = no decay b/w phases in matrix, so can learn about recently active synapse"; tags=; version { major=0; minor=0; step=0; }; author=; email=; license { license=NO_LIC; owner_name=; org=; year="2011"; custom=; }; pub_cite=; wiki { wiki=; page_name=; }; docs { name="docs"; el_typ=taDoc; el_def=0; taDoc @["ProjectDocs"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["user_pinned"] { name="user_pinned"; value 1 0=1; val_type_fixed=0; }; }; name="ProjectDocs"; desc=; web_doc=0; wiki=; url="local"; full_url="local"; text_size=1; text=" == Choice dissociations in probabilistic selection task in a four response BG network == This project simulates the effects of Parkinson's disease on learning and reward-based decision making in the probabilistic selection task (Frank et al 2004) using a four response network. Although previous simulations have shown the effects of dopaminergic manipulations on \"Go\" vs \"NoGo\" learning in this task using a network with 2 motor responses, these have involved recording internal striatal valuation signals (assessed by Go/NoGo activations for stimulus-response combinations that had been primarily associated with positive or negative outcomes). Intact networks showed relatively greater Go than NoGo activity for positive S-R combinations and greater NoGo activity for negative combinations. SImulated DA depletion as in Parkinson's disease resulted in a bias, with stronger NoGo-negative striatal valuation signals than Go-positive ones, and simulated DA medications reversed this bias. However, these prior simulations did not show that these striatal valuation signals translate to effects on actual choice (action selection). The reason for this is that there is no visual object recognition system in the network and hence it is not possible for the network to separately encode two stimuli presented in parallel (as any number of units presented in the input layer would be interpreted as one representation). Therefore the task is simulated by presenting a single stimulus (made up of four units), and differentially reinforcing responses. The task is simulated such that stimulus S1 is presented, R1 is positively rewarded on 80% of trials and R2 is associated with negative feedback on these trials. So choice of R1 can result from both Go S1-R1 and/or from NoGo S1-R2. For this reason, we simply recorded the Go/NoGo associations directly to show that DA depletion is associated with sronger NoGo S1-R2 and DA elevations with stronger Go S1-R2, etc. However, it is important to demonstrate that these can directly affect choice. These simulations also allow us to investigate separable effects of dopamine manipulations on learning (modulations of dopamine during acquisition of reinforcement contingencies) vs. the expression of learning (decision making after learning has occurred). Here, we simulate the same task in a four response network (parameters of the network are different than for the two response network in order to accommodate the different architecture etc). The procedure is as follows. When S1 is presented, the model selects between R1 and R2 (these responses are provided with some bias in the cortical activity to ensure that R3 and R4 are not considered as 'candidates'). S1-R1 is rewarded on 80% of trials and S1-R2 is punished (DA dip) on 80% of trials (as in the 2-response network). Conversely, when S2 is presented, the model selects between R3 and R4, with R3 rewarded on 60% of trials. After this learning phase, the model is then presented with a combination of S1 and S2 in the input layer, and is then given the following choices (choices available to the network are determined again by simply biasing the activity of the candidate motor cortical units): i) R1 vs. either R3 or R4. This is equivalent to choosing a response that had been rewarded on 80% of trials over those that had been rewarded less often (60 or 40 %, so on average these alternative responses have 50% neutral value, as in the actual task). ii) R2 vs either R3 or R4. This is equivalent to choosing between a response that had been rewarded on only 20% of trials (and punished on 80% of trials) and the other more neutral ones. Thus case i) is equivalent to \"choose-A\" in the probabilistic selection task, wheras case ii) is equivalent to \"avoid-B\". No feedback is given during this phase, so choices reflect only performance based on past learning. To run a batch of intact networks, on the MasterControl panel make sure num_intact_snc_units is set to 4. Then just click Init and Run, which will run a batch of 50 networks for 30 epochs of training and 30 epochs of testing (repeated presentations of test trials without learning to get sufficient statistics on choice accuracy for each network). When it's done, check out the Stats table under AnalysisData, which presents summary statistics of choices made across all networks as a function of trial type. The first two rows are average choices in the training phase of the task (averaged across all epochs so this measure is an under-estimation of the asymptotic performance in the trials). The second two rows are the critical choose-A and avoid-B measures averaged across all test trials after learning (these are also plotted in the graph on the right panel). In the A trials, the networks should choose R1 over R3 or R4. You should see that r1_mean is indeed high (substantially above 0.5), indicating that networks do tend to choose A on most of these trials. r2_mean should be 0 (or very close to it) because the \"B\" response was never eligible on A trials, whereas R3 and R4 likely have non-zero means (due to imperfect choice of R1). (Note that the means for R3 and R4 are calculated across all A trials even though only one of R3 or R4 were eligible - thus the actual proportion of trials in which R3 and R4 were chosen over R1 when they were eligible is twice that shown in the table -- still substantially less than R1). In the B trials, the network should avoid R2 over R3 and R4. You should see that r2_mean is indeed less than 0.5 indicated that networks avoid it (closer to 0 means better avoidance and more selection of the alternative choices; thus 'avoid-B' accuracy is (1-r2_mean)). Again choices of r3 and r4 when they were eligible is twice that shown, so you should see that r2 was avoided more than either of these. In both A and B trials however, choices of the suboptimal trials nevertheless occur in proportion to their relative values - r3 should be selected more consistently than r4. This is the basic prediction of the softmax logistic function often used to summarize BG choice -- that choices are made probabilistically as a function of the relative difference in values between alternative responses. To test the effect of Parkinson's disease, set the num_intact_snc_units to 2 instead of 4 (i.e. 50% DA damage - you can also go all the way down to 1 but this can lead to very degraded overall performance). Re-run a batch of networks and you should see that choose-A performance is substantially worse than in the intact case, whereas avoid-B performance is preserved or even enhanced. You can also run an intermediate case of num_intact_snc_units =3. You should see that relative performance in choose-A vs avoid-B is greater than with more damage (snc=2 or 1) and less than that with higher dopamine levels (snc =4). Thus these simulations capture the basic dissociation seen in the empirical studies in terms of choice performance on these test trials as a function of dopamine depletion and stimulation. == learning vs expression and levodopa vs D2 agonists == You can simulate the effects of D2 agonists given to Parkinson's patients by simply increasing the strength of the D2 projections onto NoGo units, so that effectively there is more D2 stimulation. You can simulate these effects during acquisiton/learning, during test phase, or both. First increase the num_intact_snc_units back to 4, given that levodopa medication replenishes dopamine levels. To increase D2 stimulation, change the d2_acq and d2_perf (acquisition and peformance) from the default of 0.075 to twice that amount, 0.15. You should see that when increasing D2 stimulation during acquisition, which prevents NoGo units from responding to DA dips, avoid-B performance is impaired, as seen in PD patients on medication. Also,if you increase D2 stimulation only during the test phase , without affecting it during learning (ie. d2_acq = 0.075 and d2_perf =0.15), that this now increases choose-A performance and decreases avoid-B. This shows that D2 stimulation can increase the *expression* of relative reward-based action selection, as has been shown e.g. in Shiner et al 2012. == A few details == Note that tonic dopamine levels are relatively low in this network to ensure that nogo units are sufficiently able to become active and prevent action selection for negative S-R combinations. This also means that early during learning, particularly for the Parkinson's networks, you may not see any response being gated, but choices are determined primarily by noise at the level of motor cortex (a simple mechanism forexploration). Nevertheless, as learning occurs, some responses are reinforced more than others and BG gating becomes common, leading to reliable choice of the more rewarding stimulus-response combinations (and avoidance of the negative ones). Note also that all accuracy analysis occur after filtering out trials in which a response was not gated by the maximum 150 cycles of each trial (otherwise choices selected in these trials are random, due only to motor cortical noise). The dynamics of this network and tonic dopamine levels are such that a Go representation becomes transiently active long enough to gate a response but is then usually inhibited by corresponding NoGo activity (there are direct NoGo->Go inhibitory projections, consistent with evidence from the Surmeier lab). Subsequent DA bursts will excite and drive Go learning as usual. Otherwise, there is NoGo learning (due to NoGo activity and Hebbian learning), which does not depend on the DA dip (drop from tonic levels). For this reason we do not model the agonist medication effect as a reduced DA dip, as we have in the other simulations, but rather as simply increased D2 receptor stimulation (as noted above). However the same mechanisms still apply and the network could be parametrized so that the dip is still functionally effective as in the other cases. Finally, you may notice that there is a Context layer as an additional Input. This is meant for other tasks in which the stimulus representation in the Input layer sometimes is contextualized by other contexts (e.g. a shape in the input is contextualized by its color). In the current task the context is always constant (the same representation), so this layer doesn't play a role (nevertheless the network is parameterized so that it expects a certain level of input from this layer). "; html_text="

Choice dissociations in probabilistic selection task in a four response BG network

This project simulates the effects of Parkinson's disease on learning and reward-based decision making in the probabilistic selection task (Frank et al 2004) using a four response network. Although previous simulations have shown the effects of dopaminergic manipulations on \"Go\" vs \"NoGo\" learning in this task using a network with 2 motor responses, these have involved recording internal striatal valuation signals (assessed by Go/NoGo activations for stimulus-response combinations that had been primarily associated with positive or negative outcomes). Intact networks showed relatively greater Go than NoGo activity for positive S-R combinations and greater NoGo activity for negative combinations. SImulated DA depletion as in Parkinson's disease resulted in a bias, with stronger NoGo-negative striatal valuation signals than Go-positive ones, and simulated DA medications reversed this bias.

However, these prior simulations did not show that these striatal valuation signals translate to effects on actual choice (action selection). The reason for this is that there is no visual object recognition system in the network and hence it is not possible for the network to separately encode two stimuli presented in parallel (as any number of units presented in the input layer would be interpreted as one representation). Therefore the task is simulated by presenting a single stimulus (made up of four units), and differentially reinforcing responses. The task is simulated such that stimulus S1 is presented, R1 is positively rewarded on 80% of trials and R2 is associated with negative feedback on these trials. So choice of R1 can result from both Go S1-R1 and/or from NoGo S1-R2. For this reason, we simply recorded the Go/NoGo associations directly to show that DA depletion is associated with sronger NoGo S1-R2 and DA elevations with stronger Go S1-R2, etc. However, it is important to demonstrate that these can directly affect choice. These simulations also allow us to investigate separable effects of dopamine manipulations on learning (modulations of dopamine during acquisition of reinforcement contingencies) vs. the expression of learning (decision making after learning has occurred).

Here, we simulate the same task in a four response network (parameters of the network are different than for the two response network in order to accommodate the different architecture etc). The procedure is as follows. When S1 is presented, the model selects between R1 and R2 (these responses are provided with some bias in the cortical activity to ensure that R3 and R4 are not considered as 'candidates'). S1-R1 is rewarded on 80% of trials and S1-R2 is punished (DA dip) on 80% of trials (as in the 2-response network). Conversely, when S2 is presented, the model selects between R3 and R4, with R3 rewarded on 60% of trials. After this learning phase, the model is then presented with a combination of S1 and S2 in the input layer, and is then given the following choices (choices available to the network are determined again by simply biasing the activity of the candidate motor cortical units):

i) R1 vs. either R3 or R4. This is equivalent to choosing a response that had been rewarded on 80% of trials over those that had been rewarded less often (60 or 40 %, so on average these alternative responses have 50% neutral value, as in the actual task).

ii) R2 vs either R3 or R4. This is equivalent to choosing between a response that had been rewarded on only 20% of trials (and punished on 80% of trials) and the other more neutral ones.

Thus case i) is equivalent to \"choose-A\" in the probabilistic selection task, wheras case ii) is equivalent to \"avoid-B\". No feedback is given during this phase, so choices reflect only performance based on past learning.

To run a batch of intact networks, on the MasterControl panel make sure num_intact_snc_units is set to 4. Then just click Init and Run, which will run a batch of 50 networks for 30 epochs of training and 30 epochs of testing (repeated presentations of test trials without learning to get sufficient statistics on choice accuracy for each network). When it's done, check out the Stats table under AnalysisData, which presents summary statistics of choices made across all networks as a function of trial type. The first two rows are average choices in the training phase of the task (averaged across all epochs so this measure is an under-estimation of the asymptotic performance in the trials). The second two rows are the critical choose-A and avoid-B measures averaged across all test trials after learning (these are also plotted in the graph on the right panel).

In the A trials, the networks should choose R1 over R3 or R4. You should see that r1_mean is indeed high (substantially above 0.5), indicating that networks do tend to choose A on most of these trials. r2_mean should be 0 (or very close to it) because the \"B\" response was never eligible on A trials, whereas R3 and R4 likely have non-zero means (due to imperfect choice of R1). (Note that the means for R3 and R4 are calculated across all A trials even though only one of R3 or R4 were eligible - thus the actual proportion of trials in which R3 and R4 were chosen over R1 when they were eligible is twice that shown in the table -- still substantially less than R1).

In the B trials, the network should avoid R2 over R3 and R4. You should see that r2_mean is indeed less than 0.5 indicated that networks avoid it (closer to 0 means better avoidance and more selection of the alternative choices; thus 'avoid-B' accuracy is (1-r2_mean)). Again choices of r3 and r4 when they were eligible is twice that shown, so you should see that r2 was avoided more than either of these. In both A and B trials however, choices of the suboptimal trials nevertheless occur in proportion to their relative values - r3 should be selected more consistently than r4. This is the basic prediction of the softmax logistic function often used to summarize BG choice -- that choices are made probabilistically as a function of the relative difference in values between alternative responses. To test the effect of Parkinson's disease, set the num_intact_snc_units to 2 instead of 4 (i.e. 50% DA damage - you can also go all the way down to 1 but this can lead to very degraded overall performance). Re-run a batch of networks and you should see that choose-A performance is substantially worse than in the intact case, whereas avoid-B performance is preserved or even enhanced.

You can also run an intermediate case of num_intact_snc_units =3. You should see that relative performance in choose-A vs avoid-B is greater than with more damage (snc=2 or 1) and less than that with higher dopamine levels (snc =4). Thus these simulations capture the basic dissociation seen in the empirical studies in terms of choice performance on these test trials as a function of dopamine depletion and stimulation.

== learning vs expression and levodopa vs D2 agonists ==

You can simulate the effects of D2 agonists given to Parkinson's patients by simply increasing the strength of the D2 projections onto NoGo units, so that effectively there is more D2 stimulation. You can simulate these effects during acquisiton/learning, during test phase, or both. First increase the num_intact_snc_units back to 4, given that levodopa medication replenishes dopamine levels. To increase D2 stimulation, change the d2_acq and d2_perf (acquisition and peformance) from the default of 0.075 to twice that amount, 0.15.

You should see that when increasing D2 stimulation during acquisition, which prevents NoGo units from responding to DA dips, avoid-B performance is impaired, as seen in PD patients on medication.

Also,if you increase D2 stimulation only during the test phase , without affecting it during learning (ie. d2_acq = 0.075 and d2_perf =0.15), that this now increases choose-A performance and decreases avoid-B. This shows that D2 stimulation can increase the *expression* of relative reward-based action selection, as has been shown e.g. in Shiner et al 2012.

== A few details ==

Note that tonic dopamine levels are relatively low in this network to ensure that nogo units are sufficiently able to become active and prevent action selection for negative S-R combinations. This also means that early during learning, particularly for the Parkinson's networks, you may not see any response being gated, but choices are determined primarily by noise at the level of motor cortex (a simple mechanism forexploration). Nevertheless, as learning occurs, some responses are reinforced more than others and BG gating becomes common, leading to reliable choice of the more rewarding stimulus-response combinations (and avoidance of the negative ones). Note also that all accuracy analysis occur after filtering out trials in which a response was not gated by the maximum 150 cycles of each trial (otherwise choices selected in these trials are random, due only to motor cortical noise). The dynamics of this network and tonic dopamine levels are such that a Go representation becomes transiently active long enough to gate a response but is then usually inhibited by corresponding NoGo activity (there are direct NoGo->Go inhibitory projections, consistent with evidence from the Surmeier lab). Subsequent DA bursts will excite and drive Go learning as usual. Otherwise, there is NoGo learning (due to NoGo activity and Hebbian learning), which does not depend on the DA dip (drop from tonic levels). For this reason we do not model the agonist medication effect as a reduced DA dip, as we have in the other simulations, but rather as simply increased D2 receptor stimulation (as noted above). However the same mechanisms still apply and the network could be parametrized so that the dip is still functionally effective as in the other cases.

Finally, you may notice that there is a Context layer as an additional Input. This is meant for other tasks in which the stimulus representation in the Input layer sometimes is contextualized by other contexts (e.g. a shape in the input is contextualized by its color). In the current task the context is always constant (the same representation), so this layer doesn't play a role (nevertheless the network is parameterized so that it expects a certain level of input from this layer). "; }; }; wizards { name="wizards"; el_typ=LeabraWizard; el_def=0; LeabraWizard @["LeabraWizard_2"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItemBase; el_def=0; UserDataItem @["NO_CLIP"] { name="NO_CLIP"; value 1 0=1; val_type_fixed=0; }; }; name="LeabraWizard_2"; }; }; ctrl_panels { name="ctrl_panels"; el_typ=ControlPanel; el_def=0; ControlPanel @["MasterControl"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["user_pinned"] { name="user_pinned"; value 1 0=1; val_type_fixed=0; }; }; name="MasterControl"; updt_while_running=0; desc=; mbrs { name="mbrs"; el_typ=EditMbrItem; el_def=0; EditMbrItem @[0] { label="tonic_DA"; desc=" real value -- this is the current actual value of the variable at all times for global variables, and is used as an initialization value for local variables (they start with this value, but what you see here is NOT their current value as the program runs)"; cust_desc=0; base=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["tonic_DA"]$$; mbr=ProgVar::real_val; is_numeric=1; is_single=1; param_search { record=0; search=0; range="0:1:0.1"; }; param_set_value { saved_value=; }; notes { notes=; }; }; EditMbrItem @[1] { label="DA_burst_"; desc=" real value -- this is the current actual value of the variable at all times for global variables, and is used as an initialization value for local variables (they start with this value, but what you see here is NOT their current value as the program runs)"; cust_desc=0; base=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["DA_burst_val"]$$; mbr=ProgVar::real_val; is_numeric=1; is_single=1; param_search { record=0; search=0; range="0:1:0.1"; }; param_set_value { saved_value=; }; notes { notes=; }; }; EditMbrItem @[2] { label="DA_dip_"; desc=" real value -- this is the current actual value of the variable at all times for global variables, and is used as an initialization value for local variables (they start with this value, but what you see here is NOT their current value as the program runs)"; cust_desc=0; base=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["DA_dip_val"]$$; mbr=ProgVar::real_val; is_numeric=1; is_single=1; param_search { record=0; search=0; range="0:1:0.1"; }; param_set_value { saved_value=; }; notes { notes=; }; }; EditMbrItem @[3] { label="num_intact_snc_units"; desc=" integer value -- this is the current actual value of the variable at all times for global variables, and is used as an initialization value for local variables (they start with this value, but what you see here is NOT their current value as the program runs)"; cust_desc=0; base=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].vars["num_intact_snc_units"]$$; mbr=ProgVar::int_val; is_numeric=1; is_single=1; param_search { record=0; search=0; range="0:1:0.1"; }; param_set_value { saved_value=; }; notes { notes=; }; }; EditMbrItem @[4] { label="burst_pause_duration_"; desc=" the expression to compute and assign to the member
: enter the expression here -- use Ctrl-L to pull up a lookup dialog for members, methods, types, etc -- or you can just type in names of program variables or literal values. enclose strings in double quotes. variable names will be checked and automatically updated
var lookup: lookup a program variable and add it to the current expression (this field then returns to empty/NULL)"; cust_desc=0; base=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraSettle"].prog_code[6].true_code[0]$$; mbr=MemberAssign::expr; is_numeric=0; is_single=0; param_search { record=0; search=0; range="0:1:0.1"; }; param_set_value { saved_value=; }; notes { notes=; }; }; EditMbrItem @[5] { label="d2_acq"; desc=" real value -- this is the current actual value of the variable at all times for global variables, and is used as an initialization value for local variables (they start with this value, but what you see here is NOT their current value as the program runs)"; cust_desc=0; base=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].prog_code[0].local_vars["d2_acq"]$$; mbr=ProgVar::real_val; is_numeric=1; is_single=1; param_search { record=0; search=0; range="0:1:0.1"; }; param_set_value { saved_value=; }; notes { notes=; }; }; EditMbrItem @[6] { label="d2_perf"; desc=" real value -- this is the current actual value of the variable at all times for global variables, and is used as an initialization value for local variables (they start with this value, but what you see here is NOT their current value as the program runs)"; cust_desc=0; base=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].prog_code[0].local_vars["d2_perf"]$$; mbr=ProgVar::real_val; is_numeric=1; is_single=1; param_search { record=0; search=0; range="0:1:0.1"; }; param_set_value { saved_value=; }; notes { notes=; }; }; }; mths { name="mths"; el_typ=EditMthItem; el_def=0; group_type=GT_BUTTONS; EditMthItem @[0] { label="Init"; desc=" run init_code, global variables retain current values unless reset in the init_code"; cust_desc=0; base=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraBatch"]$$; mth=Program::Init; }; EditMthItem @[1] { label="Run"; desc=" run the program -- if not yet Init, will run Init first"; cust_desc=0; base=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraBatch"]$; mth=Program::Run_Gui; }; EditMthItem @[2] { label="Step"; desc=" step the program at the level of the given program -- if NULL then step_prog default value will be used"; cust_desc=0; base=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraBatch"]$; mth=Program::Step_Gui; }; EditMthItem @[3] { label="Stop"; desc=" stop the current program at its next natural stopping point (i.e., cleanly stopping when appropriate chunks of computation have completed)"; cust_desc=0; base=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraBatch"]$; mth=Program::Stop; }; }; }; ClusterRun @["ClusterRun"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["user_pinned"] { name="user_pinned"; value 1 0=1; val_type_fixed=0; }; }; name="ClusterRun"; updt_while_running=0; desc=; mbrs { name="mbrs"; el_typ=EditMbrItem; el_def=0; }; mths { name="mths"; el_typ=EditMthItem; el_def=0; group_type=GT_BUTTONS; }; jobs_running { name="jobs_running"; desc=; data { name="data"; el_typ=String_Data; el_def=0; String_Data @["cluster"] { name="cluster"; desc="name of cluster to run job on"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["user"] { name="user"; desc="user who ran this job"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["tag"] { name="tag"; desc="unique tag id for this job -- all files etc are named according to this tag"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["status"] { name="status"; desc="status of job: REQUESTED, CANCELLED, SUBMITTED, QUEUED, RUNNING, DONE, KILLED"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["label"] { name="label"; desc="label for the job -- a brief description that you can use to label this job's results on your graph -- in general notes should have more general info and then label should JUST describe what is unique about the current run"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["notes"] { name="notes"; desc="notes for the job -- describe any specific information about the model configuration etc -- can use this for searching and sorting results"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["filename"] { name="filename"; desc="name of the specific project used for this job -- because multiple versions of a model are often run under the same project name"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["params"] { name="params"; desc="emergent parameters based on currently selected items in the ClusterRun"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["status_info"] { name="status_info"; desc="more detailed information about status"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["submit_time"] { name="submit_time"; desc="when was the job submitted (tracks time from emergent client submission)"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["start_time"] { name="start_time"; desc="when did the job actually start running"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["end_time"] { name="end_time"; desc="when did the job finish running"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["running_time"] { name="running_time"; desc="total running time in days/hours/minutes"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["job_no"] { name="job_no"; desc="job number on cluster -- assigned once the job is submitted to the cluster"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["job_out"] { name="job_out"; desc="job output information -- contains (top of) the job standard output and standard error output as the job is running (truncated to top 2048 characters if longer than that) -- full information available in job_out_file"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["job_out_file"] { name="job_out_file"; desc="job output file -- file name containing full job output information -- file name should be tag.out"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["dat_files"] { name="dat_files"; desc="list of data table output (results) files generated by model (space separated) -- these files have the tag in their name, and end in .dat"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["other_files"] { name="other_files"; desc="list of other output (results) files generated by model (space separated) -- these files have the tag in their name but do not end in .dat"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["command_id"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="command_id"; desc="id for this command, assigned by the search algorithm in an algorithm-specific manner (optional)"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["command"] { name="command"; desc="emergent command line, up to point of parameters"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["repo_url"] { name="repo_url"; desc="name of repository to run job on"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["queue"] { name="queue"; desc="if specified, indicate a particular queue on the computing resource"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["run_time"] { name="run_time"; desc="how long will the jobs take to run -- syntax is number followed by unit indicator -- m=minutes, h=hours, d=days -- e.g., 30m, 12h, or 2d -- typically the job will be killed if it exceeds this amount of time, so be sure to not underestimate"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["ram_gb"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="ram_gb"; desc="how many gigabytes of ram is required? 0 means do not specify this parameter for the job submission -- for large memory jobs, it can be important to specify this to ensure proper allocation of resources"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["n_threads"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="n_threads"; desc="number of parallel threads to use for running"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["mpi_nodes"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="mpi_nodes"; desc="number of physical nodes to use for mpi run -- 0 or -1 means not to use mpi"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["mpi_per_node"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="mpi_per_node"; desc="number of processes to use per MPI node to use for mpi run - total nodes is mpi_nodes * mpi_per_node"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["pb_batches"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="pb_batches"; desc="if > 0, use parallel batch mode with this number of batches"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["pb_nodes"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="pb_nodes"; desc="actually pb_n_batches_per -- re-using this parameter that was previously used for a different purpose -- number of batches to run sequentially within a single job"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["submit_svn"] { name="submit_svn"; desc="svn revision for the original job submission"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["submit_job"] { name="submit_job"; desc="index of job number within a given submission -- equal to the row number of the original set of jobs submitted in submit_svn jobs"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["done_svn"] { name="done_svn"; desc="svn revision when this job was moved from running to done -- this will contain full set of files generated when running -- for deleted jobs can also recover to this"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["last_svn"] { name="last_svn"; desc="last svn revision for command submission that affected this job in some significant way -- for deleted jobs, this is the svn revision that we recover to"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; }; data_flags=AUTO_CALC; auto_load=NO_AUTO_LOAD; auto_load_file=; row_height=0; keygen 4 0=0; last_sort_spec { name="last_sort_spec"; ops { name="ops"; el_typ=DataSortEl; el_def=0; }; }; control_panel_cells { name="control_panel_cells"; el_typ=DataTableCell; el_def=0; }; }; jobs_done { name="jobs_done"; desc=; data { name="data"; el_typ=String_Data; el_def=0; String_Data @["cluster"] { name="cluster"; desc="name of cluster to run job on"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["user"] { name="user"; desc="user who ran this job"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["tag"] { name="tag"; desc="unique tag id for this job -- all files etc are named according to this tag"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["status"] { name="status"; desc="status of job: REQUESTED, CANCELLED, SUBMITTED, QUEUED, RUNNING, DONE, KILLED"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["label"] { name="label"; desc="label for the job -- a brief description that you can use to label this job's results on your graph -- in general notes should have more general info and then label should JUST describe what is unique about the current run"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["notes"] { name="notes"; desc="notes for the job -- describe any specific information about the model configuration etc -- can use this for searching and sorting results"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["filename"] { name="filename"; desc="name of the specific project used for this job -- because multiple versions of a model are often run under the same project name"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["params"] { name="params"; desc="emergent parameters based on currently selected items in the ClusterRun"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["status_info"] { name="status_info"; desc="more detailed information about status"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["submit_time"] { name="submit_time"; desc="when was the job submitted (tracks time from emergent client submission)"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["start_time"] { name="start_time"; desc="when did the job actually start running"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["end_time"] { name="end_time"; desc="when did the job finish running"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["running_time"] { name="running_time"; desc="total running time in days/hours/minutes"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["job_no"] { name="job_no"; desc="job number on cluster -- assigned once the job is submitted to the cluster"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["job_out"] { name="job_out"; desc="job output information -- contains (top of) the job standard output and standard error output as the job is running (truncated to top 2048 characters if longer than that) -- full information available in job_out_file"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["job_out_file"] { name="job_out_file"; desc="job output file -- file name containing full job output information -- file name should be tag.out"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["dat_files"] { name="dat_files"; desc="list of data table output (results) files generated by model (space separated) -- these files have the tag in their name, and end in .dat"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["other_files"] { name="other_files"; desc="list of other output (results) files generated by model (space separated) -- these files have the tag in their name but do not end in .dat"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["command_id"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="command_id"; desc="id for this command, assigned by the search algorithm in an algorithm-specific manner (optional)"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["command"] { name="command"; desc="emergent command line, up to point of parameters"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["repo_url"] { name="repo_url"; desc="name of repository to run job on"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["queue"] { name="queue"; desc="if specified, indicate a particular queue on the computing resource"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["run_time"] { name="run_time"; desc="how long will the jobs take to run -- syntax is number followed by unit indicator -- m=minutes, h=hours, d=days -- e.g., 30m, 12h, or 2d -- typically the job will be killed if it exceeds this amount of time, so be sure to not underestimate"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["ram_gb"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="ram_gb"; desc="how many gigabytes of ram is required? 0 means do not specify this parameter for the job submission -- for large memory jobs, it can be important to specify this to ensure proper allocation of resources"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["n_threads"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="n_threads"; desc="number of parallel threads to use for running"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["mpi_nodes"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="mpi_nodes"; desc="number of physical nodes to use for mpi run -- 0 or -1 means not to use mpi"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["mpi_per_node"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="mpi_per_node"; desc="number of processes to use per MPI node to use for mpi run - total nodes is mpi_nodes * mpi_per_node"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["pb_batches"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="pb_batches"; desc="if > 0, use parallel batch mode with this number of batches"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["pb_nodes"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="pb_nodes"; desc="actually pb_n_batches_per -- re-using this parameter that was previously used for a different purpose -- number of batches to run sequentially within a single job"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["submit_svn"] { name="submit_svn"; desc="svn revision for the original job submission"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["submit_job"] { name="submit_job"; desc="index of job number within a given submission -- equal to the row number of the original set of jobs submitted in submit_svn jobs"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["done_svn"] { name="done_svn"; desc="svn revision when this job was moved from running to done -- this will contain full set of files generated when running -- for deleted jobs can also recover to this"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["last_svn"] { name="last_svn"; desc="last svn revision for command submission that affected this job in some significant way -- for deleted jobs, this is the svn revision that we recover to"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; }; data_flags=AUTO_CALC; auto_load=NO_AUTO_LOAD; auto_load_file=; row_height=0; keygen 4 0=0; last_sort_spec { name="last_sort_spec"; ops { name="ops"; el_typ=DataSortEl; el_def=0; }; }; control_panel_cells { name="control_panel_cells"; el_typ=DataTableCell; el_def=0; }; }; jobs_deleted { name="jobs_deleted"; desc=; data { name="data"; el_typ=String_Data; el_def=0; String_Data @["cluster"] { name="cluster"; desc="name of cluster to run job on"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["user"] { name="user"; desc="user who ran this job"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["tag"] { name="tag"; desc="unique tag id for this job -- all files etc are named according to this tag"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["status"] { name="status"; desc="status of job: REQUESTED, CANCELLED, SUBMITTED, QUEUED, RUNNING, DONE, KILLED"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["label"] { name="label"; desc="label for the job -- a brief description that you can use to label this job's results on your graph -- in general notes should have more general info and then label should JUST describe what is unique about the current run"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["notes"] { name="notes"; desc="notes for the job -- describe any specific information about the model configuration etc -- can use this for searching and sorting results"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["filename"] { name="filename"; desc="name of the specific project used for this job -- because multiple versions of a model are often run under the same project name"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["params"] { name="params"; desc="emergent parameters based on currently selected items in the ClusterRun"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["status_info"] { name="status_info"; desc="more detailed information about status"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["submit_time"] { name="submit_time"; desc="when was the job submitted (tracks time from emergent client submission)"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["start_time"] { name="start_time"; desc="when did the job actually start running"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["end_time"] { name="end_time"; desc="when did the job finish running"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["running_time"] { name="running_time"; desc="total running time in days/hours/minutes"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["job_no"] { name="job_no"; desc="job number on cluster -- assigned once the job is submitted to the cluster"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["job_out"] { name="job_out"; desc="job output information -- contains (top of) the job standard output and standard error output as the job is running (truncated to top 2048 characters if longer than that) -- full information available in job_out_file"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["job_out_file"] { name="job_out_file"; desc="job output file -- file name containing full job output information -- file name should be tag.out"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["dat_files"] { name="dat_files"; desc="list of data table output (results) files generated by model (space separated) -- these files have the tag in their name, and end in .dat"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["other_files"] { name="other_files"; desc="list of other output (results) files generated by model (space separated) -- these files have the tag in their name but do not end in .dat"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["command_id"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="command_id"; desc="id for this command, assigned by the search algorithm in an algorithm-specific manner (optional)"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["command"] { name="command"; desc="emergent command line, up to point of parameters"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["repo_url"] { name="repo_url"; desc="name of repository to run job on"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["queue"] { name="queue"; desc="if specified, indicate a particular queue on the computing resource"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["run_time"] { name="run_time"; desc="how long will the jobs take to run -- syntax is number followed by unit indicator -- m=minutes, h=hours, d=days -- e.g., 30m, 12h, or 2d -- typically the job will be killed if it exceeds this amount of time, so be sure to not underestimate"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["ram_gb"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="ram_gb"; desc="how many gigabytes of ram is required? 0 means do not specify this parameter for the job submission -- for large memory jobs, it can be important to specify this to ensure proper allocation of resources"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["n_threads"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="n_threads"; desc="number of parallel threads to use for running"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["mpi_nodes"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="mpi_nodes"; desc="number of physical nodes to use for mpi run -- 0 or -1 means not to use mpi"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["mpi_per_node"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="mpi_per_node"; desc="number of processes to use per MPI node to use for mpi run - total nodes is mpi_nodes * mpi_per_node"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["pb_batches"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="pb_batches"; desc="if > 0, use parallel batch mode with this number of batches"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["pb_nodes"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="pb_nodes"; desc="actually pb_n_batches_per -- re-using this parameter that was previously used for a different purpose -- number of batches to run sequentially within a single job"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["submit_svn"] { name="submit_svn"; desc="svn revision for the original job submission"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["submit_job"] { name="submit_job"; desc="index of job number within a given submission -- equal to the row number of the original set of jobs submitted in submit_svn jobs"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["done_svn"] { name="done_svn"; desc="svn revision when this job was moved from running to done -- this will contain full set of files generated when running -- for deleted jobs can also recover to this"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["last_svn"] { name="last_svn"; desc="last svn revision for command submission that affected this job in some significant way -- for deleted jobs, this is the svn revision that we recover to"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; }; data_flags=AUTO_CALC; auto_load=NO_AUTO_LOAD; auto_load_file=; row_height=0; keygen 4 0=0; last_sort_spec { name=; ops { name="ops"; el_typ=DataSortEl; el_def=0; }; }; control_panel_cells { name=; el_typ=DataTableCell; el_def=0; }; }; jobs_archive { name="jobs_archive"; desc=; data { name="data"; el_typ=String_Data; el_def=0; String_Data @["cluster"] { name="cluster"; desc="name of cluster to run job on"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["user"] { name="user"; desc="user who ran this job"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["tag"] { name="tag"; desc="unique tag id for this job -- all files etc are named according to this tag"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["status"] { name="status"; desc="status of job: REQUESTED, CANCELLED, SUBMITTED, QUEUED, RUNNING, DONE, KILLED"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["label"] { name="label"; desc="label for the job -- a brief description that you can use to label this job's results on your graph -- in general notes should have more general info and then label should JUST describe what is unique about the current run"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["notes"] { name="notes"; desc="notes for the job -- describe any specific information about the model configuration etc -- can use this for searching and sorting results"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["filename"] { name="filename"; desc="name of the specific project used for this job -- because multiple versions of a model are often run under the same project name"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["params"] { name="params"; desc="emergent parameters based on currently selected items in the ClusterRun"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["status_info"] { name="status_info"; desc="more detailed information about status"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["submit_time"] { name="submit_time"; desc="when was the job submitted (tracks time from emergent client submission)"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["start_time"] { name="start_time"; desc="when did the job actually start running"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["end_time"] { name="end_time"; desc="when did the job finish running"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["running_time"] { name="running_time"; desc="total running time in days/hours/minutes"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["job_no"] { name="job_no"; desc="job number on cluster -- assigned once the job is submitted to the cluster"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["job_out"] { name="job_out"; desc="job output information -- contains (top of) the job standard output and standard error output as the job is running (truncated to top 2048 characters if longer than that) -- full information available in job_out_file"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["job_out_file"] { name="job_out_file"; desc="job output file -- file name containing full job output information -- file name should be tag.out"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["dat_files"] { name="dat_files"; desc="list of data table output (results) files generated by model (space separated) -- these files have the tag in their name, and end in .dat"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["other_files"] { name="other_files"; desc="list of other output (results) files generated by model (space separated) -- these files have the tag in their name but do not end in .dat"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["command_id"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="command_id"; desc="id for this command, assigned by the search algorithm in an algorithm-specific manner (optional)"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["command"] { name="command"; desc="emergent command line, up to point of parameters"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["repo_url"] { name="repo_url"; desc="name of repository to run job on"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["queue"] { name="queue"; desc="if specified, indicate a particular queue on the computing resource"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["run_time"] { name="run_time"; desc="how long will the jobs take to run -- syntax is number followed by unit indicator -- m=minutes, h=hours, d=days -- e.g., 30m, 12h, or 2d -- typically the job will be killed if it exceeds this amount of time, so be sure to not underestimate"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["ram_gb"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="ram_gb"; desc="how many gigabytes of ram is required? 0 means do not specify this parameter for the job submission -- for large memory jobs, it can be important to specify this to ensure proper allocation of resources"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["n_threads"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="n_threads"; desc="number of parallel threads to use for running"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["mpi_nodes"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="mpi_nodes"; desc="number of physical nodes to use for mpi run -- 0 or -1 means not to use mpi"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["mpi_per_node"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="mpi_per_node"; desc="number of processes to use per MPI node to use for mpi run - total nodes is mpi_nodes * mpi_per_node"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["pb_batches"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="pb_batches"; desc="if > 0, use parallel batch mode with this number of batches"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["pb_nodes"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="pb_nodes"; desc="actually pb_n_batches_per -- re-using this parameter that was previously used for a different purpose -- number of batches to run sequentially within a single job"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["submit_svn"] { name="submit_svn"; desc="svn revision for the original job submission"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["submit_job"] { name="submit_job"; desc="index of job number within a given submission -- equal to the row number of the original set of jobs submitted in submit_svn jobs"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["done_svn"] { name="done_svn"; desc="svn revision when this job was moved from running to done -- this will contain full set of files generated when running -- for deleted jobs can also recover to this"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["last_svn"] { name="last_svn"; desc="last svn revision for command submission that affected this job in some significant way -- for deleted jobs, this is the svn revision that we recover to"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; }; data_flags=AUTO_CALC; auto_load=NO_AUTO_LOAD; auto_load_file=; row_height=0; keygen 4 0=0; last_sort_spec { name="last_sort_spec"; ops { name="ops"; el_typ=DataSortEl; el_def=0; }; }; control_panel_cells { name="control_panel_cells"; el_typ=DataTableCell; el_def=0; }; }; file_list { name="file_list"; desc=; data { name="data"; el_typ=String_Data; el_def=0; String_Data @["cluster"] { name="cluster"; desc="cluster where this job was submitted / run"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["user"] { name="user"; desc="user who ran this job"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["file_name"] { name="file_name"; desc="name of file -- does not include any path information"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["tag"] { name="tag"; desc="job tag associated with this file"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["size"] { name="size"; desc="size of file -- with typical suffixes (K = kilobytes, M = megabytes, G = gigabytes)"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["kind"] { name="kind"; desc="type of file"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["date_modified"] { name="date_modified"; desc="timestamp for when the file was last modified"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["date_created"] { name="date_created"; desc="timestamp for when the file was first created"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["svn_file_path"] { name="svn_file_path"; desc="path to file in SVN repository, relative to root of svn_repo repository"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["proj_file_path"] { name="proj_file_path"; desc="path to file relative to the parent project directory -- e.g., results/filename.dat"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["file_path"] { name="file_path"; desc="full path to file on local file system, including all parent directories and name of file -- takes you directly to the file"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; }; data_flags=AUTO_CALC; auto_load=NO_AUTO_LOAD; auto_load_file=; row_height=0; keygen 4 0=0; last_sort_spec { name="last_sort_spec"; ops { name="ops"; el_typ=DataSortEl; el_def=0; }; }; control_panel_cells { name="control_panel_cells"; el_typ=DataTableCell; el_def=0; }; }; cluster_info { name="cluster_info"; desc=; data { name="data"; el_typ=String_Data; el_def=0; String_Data @["queue"] { name="queue"; desc="queue that this info relates to"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["job_no"] { name="job_no"; desc="job number or total number of actve jobs"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["user"] { name="user"; desc="user name"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["state"] { name="state"; desc="current scheduler state -- or description of global state info"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["procs"] { name="procs"; desc="number of processors for this job or for global state info"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["start_time"] { name="start_time"; desc="timestamp for when the job was submitted or started running"; col_flags=SAVE_DATA|READ_ONLY; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; }; data_flags=AUTO_CALC; auto_load=NO_AUTO_LOAD; auto_load_file=; row_height=0; keygen 4 0=0; last_sort_spec { name="last_sort_spec"; ops { name="ops"; el_typ=DataSortEl; el_def=0; }; }; control_panel_cells { name="control_panel_cells"; el_typ=DataTableCell; el_def=0; }; }; search_algos { name="search_algos"; el_typ=ParamSearchAlgo; el_def=0; }; use_search_algo=0; cur_search_algo=NULL; set_proj_name=0; proj_name=; auto_updt_interval=10; auto_updt_timeout=30; cluster=; clusters=; users=; last_submit_time=; notes=; label=; extra_files=; svn_repo=; repo_url=; queue=; run_time=; exe_cmd="emergent7"; ram_gb=0; n_threads=1; use_mpi=0; mpi_nodes=10; mpi_per_node=1; parallel_batch=0; pb_batches=10; pb_n_batches_per=1; nowin_x=0; enable_kill=0; enable_load=0; enable_notes=0; }; }; param_sets { name="param_sets"; el_typ=ParamSet; el_def=0; }; data { name="data"; el_typ=DataTable; el_def=0; save_tables=1; DataTable_Group @.gp["InputData"] { name="InputData"; el_typ=DataTable; el_def=0; save_tables=1; DataTable @["StdInputData"] { name="StdInputData"; desc=; data { name="data"; el_typ=String_Data; el_def=0; String_Data @["Name"] { name="Name"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; ar { name="ar"; [2] "8020_R1R2";"6040_R3R4"; }; }; float_Data @["Motor_Cortex"] { name="Motor_Cortex"; col_flags=SAVE_DATA; is_matrix=1; cell_geom{ 4;2; }; calc_expr { expr=; }; dim_names { name="dim_names"; [2] ;; }; width=0; ar { name="ar"; [4 2 2] 1;0;0;0;1;0;0;0;0;1; 0;0;0;1;0;0; }; }; float_Data @["Output"] { name="Output"; col_flags=SAVE_DATA; is_matrix=1; cell_geom{ 4;1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [2] ;; }; width=0; ar { name="ar"; [4 1 2] 1;0;0;0;0;1;0;0; }; }; float_Data @["Input"] { name="Input"; col_flags=SAVE_DATA; is_matrix=1; cell_geom{ 6;2; }; calc_expr { expr=; }; dim_names { name="dim_names"; [2] ;; }; width=0; ar { name="ar"; [6 2 2] 0;0;0;0;0;0;1;1;1;1; 1;1;1;1;1;1;1;1;0;0; 0;0;0;0; }; }; float_Data @["Context"] { name="Context"; col_flags=SAVE_DATA; is_matrix=1; cell_geom{ 9;2; }; calc_expr { expr=; }; dim_names { name="dim_names"; [2] ;; }; width=0; ar { name="ar"; [9 2 2] 1;1;1;0;0;0;0;0;0;1; 1;1;0;0;0;0;0;0;1;1; 1;0;0;0;0;0;0;1;1;1; 0;0;0;0;0;0; }; }; float_Data @["SNc2"] { name="SNc2"; col_flags=SAVE_DATA; is_matrix=1; cell_geom{ 2;2; }; calc_expr { expr=; }; dim_names { name="dim_names"; [2] ;; }; width=0; ar { name="ar"; [2 2 2] 0;0;0;0;0;0;0;0; }; }; float_Data @["SNc"] { name="SNc"; col_flags=SAVE_DATA; is_matrix=1; cell_geom{ 2;2; }; calc_expr { expr=; }; dim_names { name="dim_names"; [2] ;; }; width=0; ar { name="ar"; [2 2 2] 0;0;0;0;0;0;0;0; }; }; int_Data @["Name_No"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="Name_No"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; ar { name="ar"; [2] 0;1; }; }; }; data_flags=SAVE_ROWS|AUTO_CALC; auto_load=NO_AUTO_LOAD; auto_load_file=; row_height=0; keygen 4 0=0; row_indexes { name="row_indexes"; [2] 0;1; }; last_sort_spec { name="last_sort_spec"; ops { name="ops"; el_typ=DataSortEl; el_def=0; }; }; control_panel_cells { name="control_panel_cells"; el_typ=DataTableCell; el_def=0; }; }; DataTable @["TransferInputData"] { name="TransferInputData"; desc=; data { name="data"; el_typ=String_Data; el_def=0; String_Data @["Name"] { name="Name"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; ar { name="ar"; [2] "comboA";"comboB"; }; }; float_Data @["Motor_Cortex"] { name="Motor_Cortex"; col_flags=SAVE_DATA; is_matrix=1; cell_geom{ 4;2; }; calc_expr { expr=; }; dim_names { name="dim_names"; [2] ;; }; width=0; ar { name="ar"; [4 2 2] 0;1;0;0;0;1;0;0;0;1; 0;0;0;1;0;0; }; }; float_Data @["Output"] { name="Output"; col_flags=SAVE_DATA; is_matrix=1; cell_geom{ 4;1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [2] ;; }; width=0; ar { name="ar"; [4 1 2] 0;1;0;0;0;1;0;0; }; }; float_Data @["Input"] { name="Input"; col_flags=SAVE_DATA; is_matrix=1; cell_geom{ 6;2; }; calc_expr { expr=; }; dim_names { name="dim_names"; [2] ;; }; width=0; ar { name="ar"; [6 2 2] 1;1;1;0;0;0;1;1;1;0; 0;0;1;1;1;0;0;0;1;1; 1;0;0;0; }; }; float_Data @["Context"] { name="Context"; col_flags=SAVE_DATA; is_matrix=1; cell_geom{ 9;2; }; calc_expr { expr=; }; dim_names { name="dim_names"; [2] ;; }; width=0; ar { name="ar"; [9 2 2] 1;1;1;0;0;0;0;0;0;1; 1;1;0;0;0;0;0;0;1;1; 1;0;0;0;0;0;0;1;1;1; 0;0;0;0;0;0; }; }; float_Data @["SNc2"] { name="SNc2"; col_flags=SAVE_DATA; is_matrix=1; cell_geom{ 2;2; }; calc_expr { expr=; }; dim_names { name="dim_names"; [2] ;; }; width=0; ar { name="ar"; [2 2 2] 0;0;0;0;0;0;0;0; }; }; float_Data @["SNc"] { name="SNc"; col_flags=SAVE_DATA; is_matrix=1; cell_geom{ 2;2; }; calc_expr { expr=; }; dim_names { name="dim_names"; [2] ;; }; width=0; ar { name="ar"; [2 2 2] 0;0;0;0;0;0;0;0; }; }; int_Data @["Name_No"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; }; name="Name_No"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; ar { name="ar"; [2] 1;1; }; }; }; data_flags=SAVE_ROWS|AUTO_CALC; auto_load=NO_AUTO_LOAD; auto_load_file=; row_height=0; keygen 4 0=0; row_indexes { name="row_indexes"; [2] 0;1; }; last_sort_spec { name="last_sort_spec"; ops { name="ops"; el_typ=DataSortEl; el_def=0; }; }; control_panel_cells { name="control_panel_cells"; el_typ=DataTableCell; el_def=0; }; }; }; DataTable_Group @.gp["OutputData"] { name="OutputData"; el_typ=DataTable; el_def=0; save_tables=1; DataTable @["TrialOutputData"] { name="TrialOutputData"; desc=; data { name="data"; el_typ=int_Data; el_def=0; int_Data @["batch"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_73"; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1092715263366699; val_type_fixed=0; }; }; name="batch"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [6000] 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;1;1;1;1;1;1;1;1;1; 1;1;1;1;1;1;1;1;1;1; 1;1;1;1;1;1;1;1;1;1; 1;1;1;1;1;1;1;1;1;1; 1;1;1;1;1;1;1;1;1;1; 1;1;1;1;1;1;1;1;1;1; 1;1;1;1;1;1;1;1;1;1; 1;1;1;1;1;1;1;1;1;1; 1;1;1;1;1;1;1;1;1;1; 1;1;1;1;1;1;1;1;1;1; 1;1;1;1;1;1;1;1;1;1; 1;1;1;1;1;1;1;1;1;1; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 3;3;3;3;3;3;3;3;3;3; 3;3;3;3;3;3;3;3;3;3; 3;3;3;3;3;3;3;3;3;3; 3;3;3;3;3;3;3;3;3;3; 3;3;3;3;3;3;3;3;3;3; 3;3;3;3;3;3;3;3;3;3; 3;3;3;3;3;3;3;3;3;3; 3;3;3;3;3;3;3;3;3;3; 3;3;3;3;3;3;3;3;3;3; 3;3;3;3;3;3;3;3;3;3; 3;3;3;3;3;3;3;3;3;3; 3;3;3;3;3;3;3;3;3;3; 4;4;4;4;4;4;4;4;4;4; 4;4;4;4;4;4;4;4;4;4; 4;4;4;4;4;4;4;4;4;4; 4;4;4;4;4;4;4;4;4;4; 4;4;4;4;4;4;4;4;4;4; 4;4;4;4;4;4;4;4;4;4; 4;4;4;4;4;4;4;4;4;4; 4;4;4;4;4;4;4;4;4;4; 4;4;4;4;4;4;4;4;4;4; 4;4;4;4;4;4;4;4;4;4; 4;4;4;4;4;4;4;4;4;4; 4;4;4;4;4;4;4;4;4;4; 5;5;5;5;5;5;5;5;5;5; 5;5;5;5;5;5;5;5;5;5; 5;5;5;5;5;5;5;5;5;5; 5;5;5;5;5;5;5;5;5;5; 5;5;5;5;5;5;5;5;5;5; 5;5;5;5;5;5;5;5;5;5; 5;5;5;5;5;5;5;5;5;5; 5;5;5;5;5;5;5;5;5;5; 5;5;5;5;5;5;5;5;5;5; 5;5;5;5;5;5;5;5;5;5; 5;5;5;5;5;5;5;5;5;5; 5;5;5;5;5;5;5;5;5;5; 6;6;6;6;6;6;6;6;6;6; 6;6;6;6;6;6;6;6;6;6; 6;6;6;6;6;6;6;6;6;6; 6;6;6;6;6;6;6;6;6;6; 6;6;6;6;6;6;6;6;6;6; 6;6;6;6;6;6;6;6;6;6; 6;6;6;6;6;6;6;6;6;6; 6;6;6;6;6;6;6;6;6;6; 6;6;6;6;6;6;6;6;6;6; 6;6;6;6;6;6;6;6;6;6; 6;6;6;6;6;6;6;6;6;6; 6;6;6;6;6;6;6;6;6;6; 7;7;7;7;7;7;7;7;7;7; 7;7;7;7;7;7;7;7;7;7; 7;7;7;7;7;7;7;7;7;7; 7;7;7;7;7;7;7;7;7;7; 7;7;7;7;7;7;7;7;7;7; 7;7;7;7;7;7;7;7;7;7; 7;7;7;7;7;7;7;7;7;7; 7;7;7;7;7;7;7;7;7;7; 7;7;7;7;7;7;7;7;7;7; 7;7;7;7;7;7;7;7;7;7; 7;7;7;7;7;7;7;7;7;7; 7;7;7;7;7;7;7;7;7;7; 8;8;8;8;8;8;8;8;8;8; 8;8;8;8;8;8;8;8;8;8; 8;8;8;8;8;8;8;8;8;8; 8;8;8;8;8;8;8;8;8;8; 8;8;8;8;8;8;8;8;8;8; 8;8;8;8;8;8;8;8;8;8; 8;8;8;8;8;8;8;8;8;8; 8;8;8;8;8;8;8;8;8;8; 8;8;8;8;8;8;8;8;8;8; 8;8;8;8;8;8;8;8;8;8; 8;8;8;8;8;8;8;8;8;8; 8;8;8;8;8;8;8;8;8;8; 9;9;9;9;9;9;9;9;9;9; 9;9;9;9;9;9;9;9;9;9; 9;9;9;9;9;9;9;9;9;9; 9;9;9;9;9;9;9;9;9;9; 9;9;9;9;9;9;9;9;9;9; 9;9;9;9;9;9;9;9;9;9; 9;9;9;9;9;9;9;9;9;9; 9;9;9;9;9;9;9;9;9;9; 9;9;9;9;9;9;9;9;9;9; 9;9;9;9;9;9;9;9;9;9; 9;9;9;9;9;9;9;9;9;9; 9;9;9;9;9;9;9;9;9;9; 10;10;10;10;10;10;10;10;10;10; 10;10;10;10;10;10;10;10;10;10; 10;10;10;10;10;10;10;10;10;10; 10;10;10;10;10;10;10;10;10;10; 10;10;10;10;10;10;10;10;10;10; 10;10;10;10;10;10;10;10;10;10; 10;10;10;10;10;10;10;10;10;10; 10;10;10;10;10;10;10;10;10;10; 10;10;10;10;10;10;10;10;10;10; 10;10;10;10;10;10;10;10;10;10; 10;10;10;10;10;10;10;10;10;10; 10;10;10;10;10;10;10;10;10;10; 11;11;11;11;11;11;11;11;11;11; 11;11;11;11;11;11;11;11;11;11; 11;11;11;11;11;11;11;11;11;11; 11;11;11;11;11;11;11;11;11;11; 11;11;11;11;11;11;11;11;11;11; 11;11;11;11;11;11;11;11;11;11; 11;11;11;11;11;11;11;11;11;11; 11;11;11;11;11;11;11;11;11;11; 11;11;11;11;11;11;11;11;11;11; 11;11;11;11;11;11;11;11;11;11; 11;11;11;11;11;11;11;11;11;11; 11;11;11;11;11;11;11;11;11;11; 12;12;12;12;12;12;12;12;12;12; 12;12;12;12;12;12;12;12;12;12; 12;12;12;12;12;12;12;12;12;12; 12;12;12;12;12;12;12;12;12;12; 12;12;12;12;12;12;12;12;12;12; 12;12;12;12;12;12;12;12;12;12; 12;12;12;12;12;12;12;12;12;12; 12;12;12;12;12;12;12;12;12;12; 12;12;12;12;12;12;12;12;12;12; 12;12;12;12;12;12;12;12;12;12; 12;12;12;12;12;12;12;12;12;12; 12;12;12;12;12;12;12;12;12;12; 13;13;13;13;13;13;13;13;13;13; 13;13;13;13;13;13;13;13;13;13; 13;13;13;13;13;13;13;13;13;13; 13;13;13;13;13;13;13;13;13;13; 13;13;13;13;13;13;13;13;13;13; 13;13;13;13;13;13;13;13;13;13; 13;13;13;13;13;13;13;13;13;13; 13;13;13;13;13;13;13;13;13;13; 13;13;13;13;13;13;13;13;13;13; 13;13;13;13;13;13;13;13;13;13; 13;13;13;13;13;13;13;13;13;13; 13;13;13;13;13;13;13;13;13;13; 14;14;14;14;14;14;14;14;14;14; 14;14;14;14;14;14;14;14;14;14; 14;14;14;14;14;14;14;14;14;14; 14;14;14;14;14;14;14;14;14;14; 14;14;14;14;14;14;14;14;14;14; 14;14;14;14;14;14;14;14;14;14; 14;14;14;14;14;14;14;14;14;14; 14;14;14;14;14;14;14;14;14;14; 14;14;14;14;14;14;14;14;14;14; 14;14;14;14;14;14;14;14;14;14; 14;14;14;14;14;14;14;14;14;14; 14;14;14;14;14;14;14;14;14;14; 15;15;15;15;15;15;15;15;15;15; 15;15;15;15;15;15;15;15;15;15; 15;15;15;15;15;15;15;15;15;15; 15;15;15;15;15;15;15;15;15;15; 15;15;15;15;15;15;15;15;15;15; 15;15;15;15;15;15;15;15;15;15; 15;15;15;15;15;15;15;15;15;15; 15;15;15;15;15;15;15;15;15;15; 15;15;15;15;15;15;15;15;15;15; 15;15;15;15;15;15;15;15;15;15; 15;15;15;15;15;15;15;15;15;15; 15;15;15;15;15;15;15;15;15;15; 16;16;16;16;16;16;16;16;16;16; 16;16;16;16;16;16;16;16;16;16; 16;16;16;16;16;16;16;16;16;16; 16;16;16;16;16;16;16;16;16;16; 16;16;16;16;16;16;16;16;16;16; 16;16;16;16;16;16;16;16;16;16; 16;16;16;16;16;16;16;16;16;16; 16;16;16;16;16;16;16;16;16;16; 16;16;16;16;16;16;16;16;16;16; 16;16;16;16;16;16;16;16;16;16; 16;16;16;16;16;16;16;16;16;16; 16;16;16;16;16;16;16;16;16;16; 17;17;17;17;17;17;17;17;17;17; 17;17;17;17;17;17;17;17;17;17; 17;17;17;17;17;17;17;17;17;17; 17;17;17;17;17;17;17;17;17;17; 17;17;17;17;17;17;17;17;17;17; 17;17;17;17;17;17;17;17;17;17; 17;17;17;17;17;17;17;17;17;17; 17;17;17;17;17;17;17;17;17;17; 17;17;17;17;17;17;17;17;17;17; 17;17;17;17;17;17;17;17;17;17; 17;17;17;17;17;17;17;17;17;17; 17;17;17;17;17;17;17;17;17;17; 18;18;18;18;18;18;18;18;18;18; 18;18;18;18;18;18;18;18;18;18; 18;18;18;18;18;18;18;18;18;18; 18;18;18;18;18;18;18;18;18;18; 18;18;18;18;18;18;18;18;18;18; 18;18;18;18;18;18;18;18;18;18; 18;18;18;18;18;18;18;18;18;18; 18;18;18;18;18;18;18;18;18;18; 18;18;18;18;18;18;18;18;18;18; 18;18;18;18;18;18;18;18;18;18; 18;18;18;18;18;18;18;18;18;18; 18;18;18;18;18;18;18;18;18;18; 19;19;19;19;19;19;19;19;19;19; 19;19;19;19;19;19;19;19;19;19; 19;19;19;19;19;19;19;19;19;19; 19;19;19;19;19;19;19;19;19;19; 19;19;19;19;19;19;19;19;19;19; 19;19;19;19;19;19;19;19;19;19; 19;19;19;19;19;19;19;19;19;19; 19;19;19;19;19;19;19;19;19;19; 19;19;19;19;19;19;19;19;19;19; 19;19;19;19;19;19;19;19;19;19; 19;19;19;19;19;19;19;19;19;19; 19;19;19;19;19;19;19;19;19;19; 20;20;20;20;20;20;20;20;20;20; 20;20;20;20;20;20;20;20;20;20; 20;20;20;20;20;20;20;20;20;20; 20;20;20;20;20;20;20;20;20;20; 20;20;20;20;20;20;20;20;20;20; 20;20;20;20;20;20;20;20;20;20; 20;20;20;20;20;20;20;20;20;20; 20;20;20;20;20;20;20;20;20;20; 20;20;20;20;20;20;20;20;20;20; 20;20;20;20;20;20;20;20;20;20; 20;20;20;20;20;20;20;20;20;20; 20;20;20;20;20;20;20;20;20;20; 21;21;21;21;21;21;21;21;21;21; 21;21;21;21;21;21;21;21;21;21; 21;21;21;21;21;21;21;21;21;21; 21;21;21;21;21;21;21;21;21;21; 21;21;21;21;21;21;21;21;21;21; 21;21;21;21;21;21;21;21;21;21; 21;21;21;21;21;21;21;21;21;21; 21;21;21;21;21;21;21;21;21;21; 21;21;21;21;21;21;21;21;21;21; 21;21;21;21;21;21;21;21;21;21; 21;21;21;21;21;21;21;21;21;21; 21;21;21;21;21;21;21;21;21;21; 22;22;22;22;22;22;22;22;22;22; 22;22;22;22;22;22;22;22;22;22; 22;22;22;22;22;22;22;22;22;22; 22;22;22;22;22;22;22;22;22;22; 22;22;22;22;22;22;22;22;22;22; 22;22;22;22;22;22;22;22;22;22; 22;22;22;22;22;22;22;22;22;22; 22;22;22;22;22;22;22;22;22;22; 22;22;22;22;22;22;22;22;22;22; 22;22;22;22;22;22;22;22;22;22; 22;22;22;22;22;22;22;22;22;22; 22;22;22;22;22;22;22;22;22;22; 23;23;23;23;23;23;23;23;23;23; 23;23;23;23;23;23;23;23;23;23; 23;23;23;23;23;23;23;23;23;23; 23;23;23;23;23;23;23;23;23;23; 23;23;23;23;23;23;23;23;23;23; 23;23;23;23;23;23;23;23;23;23; 23;23;23;23;23;23;23;23;23;23; 23;23;23;23;23;23;23;23;23;23; 23;23;23;23;23;23;23;23;23;23; 23;23;23;23;23;23;23;23;23;23; 23;23;23;23;23;23;23;23;23;23; 23;23;23;23;23;23;23;23;23;23; 24;24;24;24;24;24;24;24;24;24; 24;24;24;24;24;24;24;24;24;24; 24;24;24;24;24;24;24;24;24;24; 24;24;24;24;24;24;24;24;24;24; 24;24;24;24;24;24;24;24;24;24; 24;24;24;24;24;24;24;24;24;24; 24;24;24;24;24;24;24;24;24;24; 24;24;24;24;24;24;24;24;24;24; 24;24;24;24;24;24;24;24;24;24; 24;24;24;24;24;24;24;24;24;24; 24;24;24;24;24;24;24;24;24;24; 24;24;24;24;24;24;24;24;24;24; 25;25;25;25;25;25;25;25;25;25; 25;25;25;25;25;25;25;25;25;25; 25;25;25;25;25;25;25;25;25;25; 25;25;25;25;25;25;25;25;25;25; 25;25;25;25;25;25;25;25;25;25; 25;25;25;25;25;25;25;25;25;25; 25;25;25;25;25;25;25;25;25;25; 25;25;25;25;25;25;25;25;25;25; 25;25;25;25;25;25;25;25;25;25; 25;25;25;25;25;25;25;25;25;25; 25;25;25;25;25;25;25;25;25;25; 25;25;25;25;25;25;25;25;25;25; 26;26;26;26;26;26;26;26;26;26; 26;26;26;26;26;26;26;26;26;26; 26;26;26;26;26;26;26;26;26;26; 26;26;26;26;26;26;26;26;26;26; 26;26;26;26;26;26;26;26;26;26; 26;26;26;26;26;26;26;26;26;26; 26;26;26;26;26;26;26;26;26;26; 26;26;26;26;26;26;26;26;26;26; 26;26;26;26;26;26;26;26;26;26; 26;26;26;26;26;26;26;26;26;26; 26;26;26;26;26;26;26;26;26;26; 26;26;26;26;26;26;26;26;26;26; 27;27;27;27;27;27;27;27;27;27; 27;27;27;27;27;27;27;27;27;27; 27;27;27;27;27;27;27;27;27;27; 27;27;27;27;27;27;27;27;27;27; 27;27;27;27;27;27;27;27;27;27; 27;27;27;27;27;27;27;27;27;27; 27;27;27;27;27;27;27;27;27;27; 27;27;27;27;27;27;27;27;27;27; 27;27;27;27;27;27;27;27;27;27; 27;27;27;27;27;27;27;27;27;27; 27;27;27;27;27;27;27;27;27;27; 27;27;27;27;27;27;27;27;27;27; 28;28;28;28;28;28;28;28;28;28; 28;28;28;28;28;28;28;28;28;28; 28;28;28;28;28;28;28;28;28;28; 28;28;28;28;28;28;28;28;28;28; 28;28;28;28;28;28;28;28;28;28; 28;28;28;28;28;28;28;28;28;28; 28;28;28;28;28;28;28;28;28;28; 28;28;28;28;28;28;28;28;28;28; 28;28;28;28;28;28;28;28;28;28; 28;28;28;28;28;28;28;28;28;28; 28;28;28;28;28;28;28;28;28;28; 28;28;28;28;28;28;28;28;28;28; 29;29;29;29;29;29;29;29;29;29; 29;29;29;29;29;29;29;29;29;29; 29;29;29;29;29;29;29;29;29;29; 29;29;29;29;29;29;29;29;29;29; 29;29;29;29;29;29;29;29;29;29; 29;29;29;29;29;29;29;29;29;29; 29;29;29;29;29;29;29;29;29;29; 29;29;29;29;29;29;29;29;29;29; 29;29;29;29;29;29;29;29;29;29; 29;29;29;29;29;29;29;29;29;29; 29;29;29;29;29;29;29;29;29;29; 29;29;29;29;29;29;29;29;29;29; 30;30;30;30;30;30;30;30;30;30; 30;30;30;30;30;30;30;30;30;30; 30;30;30;30;30;30;30;30;30;30; 30;30;30;30;30;30;30;30;30;30; 30;30;30;30;30;30;30;30;30;30; 30;30;30;30;30;30;30;30;30;30; 30;30;30;30;30;30;30;30;30;30; 30;30;30;30;30;30;30;30;30;30; 30;30;30;30;30;30;30;30;30;30; 30;30;30;30;30;30;30;30;30;30; 30;30;30;30;30;30;30;30;30;30; 30;30;30;30;30;30;30;30;30;30; 31;31;31;31;31;31;31;31;31;31; 31;31;31;31;31;31;31;31;31;31; 31;31;31;31;31;31;31;31;31;31; 31;31;31;31;31;31;31;31;31;31; 31;31;31;31;31;31;31;31;31;31; 31;31;31;31;31;31;31;31;31;31; 31;31;31;31;31;31;31;31;31;31; 31;31;31;31;31;31;31;31;31;31; 31;31;31;31;31;31;31;31;31;31; 31;31;31;31;31;31;31;31;31;31; 31;31;31;31;31;31;31;31;31;31; 31;31;31;31;31;31;31;31;31;31; 32;32;32;32;32;32;32;32;32;32; 32;32;32;32;32;32;32;32;32;32; 32;32;32;32;32;32;32;32;32;32; 32;32;32;32;32;32;32;32;32;32; 32;32;32;32;32;32;32;32;32;32; 32;32;32;32;32;32;32;32;32;32; 32;32;32;32;32;32;32;32;32;32; 32;32;32;32;32;32;32;32;32;32; 32;32;32;32;32;32;32;32;32;32; 32;32;32;32;32;32;32;32;32;32; 32;32;32;32;32;32;32;32;32;32; 32;32;32;32;32;32;32;32;32;32; 33;33;33;33;33;33;33;33;33;33; 33;33;33;33;33;33;33;33;33;33; 33;33;33;33;33;33;33;33;33;33; 33;33;33;33;33;33;33;33;33;33; 33;33;33;33;33;33;33;33;33;33; 33;33;33;33;33;33;33;33;33;33; 33;33;33;33;33;33;33;33;33;33; 33;33;33;33;33;33;33;33;33;33; 33;33;33;33;33;33;33;33;33;33; 33;33;33;33;33;33;33;33;33;33; 33;33;33;33;33;33;33;33;33;33; 33;33;33;33;33;33;33;33;33;33; 34;34;34;34;34;34;34;34;34;34; 34;34;34;34;34;34;34;34;34;34; 34;34;34;34;34;34;34;34;34;34; 34;34;34;34;34;34;34;34;34;34; 34;34;34;34;34;34;34;34;34;34; 34;34;34;34;34;34;34;34;34;34; 34;34;34;34;34;34;34;34;34;34; 34;34;34;34;34;34;34;34;34;34; 34;34;34;34;34;34;34;34;34;34; 34;34;34;34;34;34;34;34;34;34; 34;34;34;34;34;34;34;34;34;34; 34;34;34;34;34;34;34;34;34;34; 35;35;35;35;35;35;35;35;35;35; 35;35;35;35;35;35;35;35;35;35; 35;35;35;35;35;35;35;35;35;35; 35;35;35;35;35;35;35;35;35;35; 35;35;35;35;35;35;35;35;35;35; 35;35;35;35;35;35;35;35;35;35; 35;35;35;35;35;35;35;35;35;35; 35;35;35;35;35;35;35;35;35;35; 35;35;35;35;35;35;35;35;35;35; 35;35;35;35;35;35;35;35;35;35; 35;35;35;35;35;35;35;35;35;35; 35;35;35;35;35;35;35;35;35;35; 36;36;36;36;36;36;36;36;36;36; 36;36;36;36;36;36;36;36;36;36; 36;36;36;36;36;36;36;36;36;36; 36;36;36;36;36;36;36;36;36;36; 36;36;36;36;36;36;36;36;36;36; 36;36;36;36;36;36;36;36;36;36; 36;36;36;36;36;36;36;36;36;36; 36;36;36;36;36;36;36;36;36;36; 36;36;36;36;36;36;36;36;36;36; 36;36;36;36;36;36;36;36;36;36; 36;36;36;36;36;36;36;36;36;36; 36;36;36;36;36;36;36;36;36;36; 37;37;37;37;37;37;37;37;37;37; 37;37;37;37;37;37;37;37;37;37; 37;37;37;37;37;37;37;37;37;37; 37;37;37;37;37;37;37;37;37;37; 37;37;37;37;37;37;37;37;37;37; 37;37;37;37;37;37;37;37;37;37; 37;37;37;37;37;37;37;37;37;37; 37;37;37;37;37;37;37;37;37;37; 37;37;37;37;37;37;37;37;37;37; 37;37;37;37;37;37;37;37;37;37; 37;37;37;37;37;37;37;37;37;37; 37;37;37;37;37;37;37;37;37;37; 38;38;38;38;38;38;38;38;38;38; 38;38;38;38;38;38;38;38;38;38; 38;38;38;38;38;38;38;38;38;38; 38;38;38;38;38;38;38;38;38;38; 38;38;38;38;38;38;38;38;38;38; 38;38;38;38;38;38;38;38;38;38; 38;38;38;38;38;38;38;38;38;38; 38;38;38;38;38;38;38;38;38;38; 38;38;38;38;38;38;38;38;38;38; 38;38;38;38;38;38;38;38;38;38; 38;38;38;38;38;38;38;38;38;38; 38;38;38;38;38;38;38;38;38;38; 39;39;39;39;39;39;39;39;39;39; 39;39;39;39;39;39;39;39;39;39; 39;39;39;39;39;39;39;39;39;39; 39;39;39;39;39;39;39;39;39;39; 39;39;39;39;39;39;39;39;39;39; 39;39;39;39;39;39;39;39;39;39; 39;39;39;39;39;39;39;39;39;39; 39;39;39;39;39;39;39;39;39;39; 39;39;39;39;39;39;39;39;39;39; 39;39;39;39;39;39;39;39;39;39; 39;39;39;39;39;39;39;39;39;39; 39;39;39;39;39;39;39;39;39;39; 40;40;40;40;40;40;40;40;40;40; 40;40;40;40;40;40;40;40;40;40; 40;40;40;40;40;40;40;40;40;40; 40;40;40;40;40;40;40;40;40;40; 40;40;40;40;40;40;40;40;40;40; 40;40;40;40;40;40;40;40;40;40; 40;40;40;40;40;40;40;40;40;40; 40;40;40;40;40;40;40;40;40;40; 40;40;40;40;40;40;40;40;40;40; 40;40;40;40;40;40;40;40;40;40; 40;40;40;40;40;40;40;40;40;40; 40;40;40;40;40;40;40;40;40;40; 41;41;41;41;41;41;41;41;41;41; 41;41;41;41;41;41;41;41;41;41; 41;41;41;41;41;41;41;41;41;41; 41;41;41;41;41;41;41;41;41;41; 41;41;41;41;41;41;41;41;41;41; 41;41;41;41;41;41;41;41;41;41; 41;41;41;41;41;41;41;41;41;41; 41;41;41;41;41;41;41;41;41;41; 41;41;41;41;41;41;41;41;41;41; 41;41;41;41;41;41;41;41;41;41; 41;41;41;41;41;41;41;41;41;41; 41;41;41;41;41;41;41;41;41;41; 42;42;42;42;42;42;42;42;42;42; 42;42;42;42;42;42;42;42;42;42; 42;42;42;42;42;42;42;42;42;42; 42;42;42;42;42;42;42;42;42;42; 42;42;42;42;42;42;42;42;42;42; 42;42;42;42;42;42;42;42;42;42; 42;42;42;42;42;42;42;42;42;42; 42;42;42;42;42;42;42;42;42;42; 42;42;42;42;42;42;42;42;42;42; 42;42;42;42;42;42;42;42;42;42; 42;42;42;42;42;42;42;42;42;42; 42;42;42;42;42;42;42;42;42;42; 43;43;43;43;43;43;43;43;43;43; 43;43;43;43;43;43;43;43;43;43; 43;43;43;43;43;43;43;43;43;43; 43;43;43;43;43;43;43;43;43;43; 43;43;43;43;43;43;43;43;43;43; 43;43;43;43;43;43;43;43;43;43; 43;43;43;43;43;43;43;43;43;43; 43;43;43;43;43;43;43;43;43;43; 43;43;43;43;43;43;43;43;43;43; 43;43;43;43;43;43;43;43;43;43; 43;43;43;43;43;43;43;43;43;43; 43;43;43;43;43;43;43;43;43;43; 44;44;44;44;44;44;44;44;44;44; 44;44;44;44;44;44;44;44;44;44; 44;44;44;44;44;44;44;44;44;44; 44;44;44;44;44;44;44;44;44;44; 44;44;44;44;44;44;44;44;44;44; 44;44;44;44;44;44;44;44;44;44; 44;44;44;44;44;44;44;44;44;44; 44;44;44;44;44;44;44;44;44;44; 44;44;44;44;44;44;44;44;44;44; 44;44;44;44;44;44;44;44;44;44; 44;44;44;44;44;44;44;44;44;44; 44;44;44;44;44;44;44;44;44;44; 45;45;45;45;45;45;45;45;45;45; 45;45;45;45;45;45;45;45;45;45; 45;45;45;45;45;45;45;45;45;45; 45;45;45;45;45;45;45;45;45;45; 45;45;45;45;45;45;45;45;45;45; 45;45;45;45;45;45;45;45;45;45; 45;45;45;45;45;45;45;45;45;45; 45;45;45;45;45;45;45;45;45;45; 45;45;45;45;45;45;45;45;45;45; 45;45;45;45;45;45;45;45;45;45; 45;45;45;45;45;45;45;45;45;45; 45;45;45;45;45;45;45;45;45;45; 46;46;46;46;46;46;46;46;46;46; 46;46;46;46;46;46;46;46;46;46; 46;46;46;46;46;46;46;46;46;46; 46;46;46;46;46;46;46;46;46;46; 46;46;46;46;46;46;46;46;46;46; 46;46;46;46;46;46;46;46;46;46; 46;46;46;46;46;46;46;46;46;46; 46;46;46;46;46;46;46;46;46;46; 46;46;46;46;46;46;46;46;46;46; 46;46;46;46;46;46;46;46;46;46; 46;46;46;46;46;46;46;46;46;46; 46;46;46;46;46;46;46;46;46;46; 47;47;47;47;47;47;47;47;47;47; 47;47;47;47;47;47;47;47;47;47; 47;47;47;47;47;47;47;47;47;47; 47;47;47;47;47;47;47;47;47;47; 47;47;47;47;47;47;47;47;47;47; 47;47;47;47;47;47;47;47;47;47; 47;47;47;47;47;47;47;47;47;47; 47;47;47;47;47;47;47;47;47;47; 47;47;47;47;47;47;47;47;47;47; 47;47;47;47;47;47;47;47;47;47; 47;47;47;47;47;47;47;47;47;47; 47;47;47;47;47;47;47;47;47;47; 48;48;48;48;48;48;48;48;48;48; 48;48;48;48;48;48;48;48;48;48; 48;48;48;48;48;48;48;48;48;48; 48;48;48;48;48;48;48;48;48;48; 48;48;48;48;48;48;48;48;48;48; 48;48;48;48;48;48;48;48;48;48; 48;48;48;48;48;48;48;48;48;48; 48;48;48;48;48;48;48;48;48;48; 48;48;48;48;48;48;48;48;48;48; 48;48;48;48;48;48;48;48;48;48; 48;48;48;48;48;48;48;48;48;48; 48;48;48;48;48;48;48;48;48;48; 49;49;49;49;49;49;49;49;49;49; 49;49;49;49;49;49;49;49;49;49; 49;49;49;49;49;49;49;49;49;49; 49;49;49;49;49;49;49;49;49;49; 49;49;49;49;49;49;49;49;49;49; 49;49;49;49;49;49;49;49;49;49; 49;49;49;49;49;49;49;49;49;49; 49;49;49;49;49;49;49;49;49;49; 49;49;49;49;49;49;49;49;49;49; 49;49;49;49;49;49;49;49;49;49; 49;49;49;49;49;49;49;49;49;49; 49;49;49;49;49;49;49;49;49;49; }; }; int_Data @["epoch"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_74"; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1092715263366699; val_type_fixed=0; }; }; name="epoch"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [6000] 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; 0;0;1;1;2;2;3;3;4;4; 5;5;6;6;7;7;8;8;9;9; 10;10;11;11;12;12;13;13;14;14; 15;15;16;16;17;17;18;18;19;19; 20;20;21;21;22;22;23;23;24;24; 25;25;26;26;27;27;28;28;29;29; 30;30;31;31;32;32;33;33;34;34; 35;35;36;36;37;37;38;38;39;39; 40;40;41;41;42;42;43;43;44;44; 45;45;46;46;47;47;48;48;49;49; 50;50;51;51;52;52;53;53;54;54; 55;55;56;56;57;57;58;58;59;59; }; }; String_Data @["trial_name"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_81"; el_typ=UserDataItem; el_def=0; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1092715263366699; val_type_fixed=0; }; }; name="trial_name"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [6000] "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboB";"comboA"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4";"8020_R1R2";"6040_R3R4"; "8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4";"6040_R3R4";"8020_R1R2";"8020_R1R2";"6040_R3R4"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboA";"comboB";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB"; "comboB";"comboA";"comboA";"comboB";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA"; "comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboB";"comboA";"comboA";"comboB"; }; }; float_Data @["minus_cycles"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_82"; el_typ=UserDataItem; el_def=0; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1092715263366699; val_type_fixed=0; }; }; name="minus_cycles"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [6000] 150;150;150;150;150;150;87;100;81;88; 85;79;94;83;71;61;149;74;80;135; 76;79;85;90;74;150;90;68;64;81; 84;139;87;84;86;66;61;150;64;150; 150;97;139;150;150;67;150;82;150;82; 150;112;80;150;79;150;150;116;150;110; 150;126;88;61;78;76;51;69;69;104; 92;93;61;95;76;101;88;64;60;96; 150;124;54;149;122;128;89;70;97;76; 51;92;115;135;62;133;150;59;121;99; 68;65;116;80;56;89;109;51;78;53; 114;74;140;53;150;57;61;89;120;91; 150;150;150;150;150;150;150;150;150;150; 150;76;74;150;150;149;150;150;150;148; 97;150;79;85;150;150;86;89;150;81; 150;62;70;150;119;65;141;150;114;150; 80;150;77;83;85;71;64;67;94;75; 78;75;150;87;67;85;71;65;68;68; 74;112;74;105;107;73;111;77;83;143; 78;79;150;120;144;136;81;150;75;150; 76;150;150;91;99;84;67;96;147;72; 82;103;69;78;144;91;74;97;84;97; 150;74;78;150;134;75;86;96;75;150; 82;80;113;95;150;75;74;150;97;146; 150;150;150;150;150;150;150;150;150;150; 150;150;92;150;150;135;150;150;150;75; 150;71;82;89;62;98;74;66;92;60; 84;150;150;65;61;81;70;62;59;70; 70;59;69;76;62;104;132;60;65;150; 150;70;145;65;150;63;120;76;89;150; 95;65;83;53;77;55;55;69;83;89; 56;86;73;62;55;96;60;96;98;54; 78;69;75;90;85;53;72;54;81;57; 58;76;88;148;89;54;105;55;57;61; 81;80;84;87;71;54;101;93;117;80; 95;54;99;150;58;65;62;150;108;64; 89;150;150;123;131;150;150;133;150;150; 131;150;150;150;150;89;112;71;65;100; 149;92;73;145;99;57;56;64;51;92; 113;51;51;101;56;129;58;80;56;83; 67;96;103;65;101;62;150;65;61;125; 150;60;62;150;67;150;68;150;82;150; 78;59;54;127;63;150;60;67;57;150; 91;71;150;65;150;60;56;150;84;56; 66;150;52;59;55;145;64;89;150;78; 106;60;56;107;55;76;86;65;61;141; 150;59;70;150;150;57;61;71;55;97; 82;72;57;55;93;60;60;129;60;150; 150;150;150;150;150;150;150;150;150;150; 91;150;150;65;150;70;79;150;87;74; 66;150;90;79;79;104;87;101;150;121; 79;150;79;150;65;150;150;80;150;73; 74;95;64;150;78;73;70;95;72;150; 150;150;150;150;150;150;150;85;150;150; 126;136;150;67;93;150;150;84;109;120; 129;150;95;150;63;150;72;150;86;150; 86;125;71;149;117;103;118;102;87;150; 86;79;90;80;150;136;76;150;124;150; 150;77;80;120;83;150;76;81;115;95; 82;150;150;88;150;109;84;102;76;150; 150;150;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;75; 150;99;150;62;150;80;150;150;150;68; 150;70;71;150;150;69;150;67;118;150; 80;150;150;150;76;150;150;81;140;74; 150;62;150;65;64;92;70;78;80;65; 150;150;69;150;109;150;65;109;68;150; 98;150;108;150;150;72;89;150;150;150; 75;71;150;73;150;86;103;150;128;77; 71;67;75;98;119;150;72;150;113;150; 146;70;150;81;114;97;150;70;73;73; 80;150;150;89;74;150;133;150;74;150; 150;150;150;150;150;150;139;150;150;148; 150;150;150;150;130;150;150;150;150;150; 150;110;150;150;150;150;150;150;63;117; 69;71;63;144;66;150;150;70;150;64; 54;150;89;60;109;55;63;68;65;97; 61;90;91;57;59;150;58;121;92;59; 77;73;83;60;64;103;60;140;60;92; 65;70;84;73;59;83;60;108;59;150; 79;61;69;82;58;79;63;105;67;70; 81;87;68;89;87;64;81;75;63;76; 92;62;78;67;74;66;69;84;109;72; 74;81;82;71;87;62;114;68;68;83; 150;150;150;150;150;150;150;150;150;103; 150;150;150;150;125;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;80; 150;150;150;150;150;150;150;150;74;150; 83;150;69;150;150;64;68;150;150;62; 63;150;61;150;64;150;62;150;68;150; 150;61;71;150;150;62;150;58;150;63; 63;150;74;109;150;57;150;60;67;150; 60;138;63;150;150;63;66;150;150;69; 150;65;74;150;150;65;67;150;150;66; 67;142;150;67;67;150;67;137;67;114; 150;67;150;65;150;59;68;150;150;72; 150;150;116;150;150;150;78;150;112;150; 150;75;150;96;150;64;139;150;150;78; 101;150;150;76;65;150;74;76;78;150; 76;150;150;150;150;64;78;150;150;150; 150;150;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;150; 150;150;150;150;86;150;146;129;93;150; 150;75;150;150;150;99;132;147;150;113; 120;116;150;150;150;91;94;150;135;141; 128;121;137;150;150;150;99;116;84;150; 125;150;124;150;91;150;143;83;150;97; 150;150;150;150;75;150;103;150;150;150; 150;77;150;150;150;150;150;150;79;150; 150;150;109;150;150;150;82;150;85;79; 74;82;86;91;81;150;50;74;86;150; 81;85;90;78;89;85;106;86;90;102; 98;88;114;94;114;125;150;86;115;143; 51;150;119;150;150;150;115;118;110;114; 82;85;114;121;79;89;63;106;65;94; 73;76;74;72;115;71;70;71;135;122; 150;54;77;72;77;69;70;71;105;150; 93;114;105;72;77;73;101;71;80;72; 79;72;70;78;73;98;72;78;72;75; 76;150;70;82;115;65;50;76;150;78; 150;150;150;71;70;73;83;150;150;74; 150;84;150;91;87;150;150;150;150;150; 89;150;81;150;150;150;150;58;69;150; 69;150;150;80;150;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;102;150;85; 111;78;150;81;71;144;85;68;63;120; 150;61;79;70;150;78;150;75;150;68; 97;119;150;96;78;150;150;66;72;150; 96;76;80;149;124;77;150;94;150;115; 73;150;63;97;65;150;65;91;118;78; 107;73;74;70;73;78;100;70;67;150; 150;150;150;150;150;150;150;150;86;150; 150;144;150;84;150;109;150;150;72;150; 70;150;150;77;150;66;69;150;85;150; 82;150;150;100;150;76;89;93;150;90; 110;73;82;102;150;102;150;104;110;150; 150;150;150;88;111;150;150;150;150;90; 129;52;150;54;150;53;101;53;50;150; 146;54;51;108;114;52;150;54;53;150; 150;52;54;150;147;54;150;54;55;96; 121;52;150;53;125;55;118;52;88;52; 52;92;55;109;150;52;51;122;129;54; 150;53;150;52;54;79;54;150;54;139; 119;150;48;150;150;47;150;46;150;89; 150;124;150;90;124;150;86;150;150;89; 46;150;150;150;150;150;124;150;150;150; 150;46;150;46;45;150;45;150;150;46; 47;150;150;46;150;47;71;46;46;64; 76;46;46;79;46;68;128;62;71;121; 78;47;47;47;47;49;90;48;48;80; 47;105;68;150;47;75;47;47;47;85; 48;140;47;150;68;150;48;47;48;88; 47;47;48;83;47;48;145;47;150;100; 47;46;47;77;47;150;47;48;59;48; 150;49;48;49;89;150;47;80;47;46; 150;150;150;150;150;150;150;150;150;150; 112;150;150;50;115;150;150;49;150;50; 89;150;150;123;150;115;150;89;133;92; 88;150;49;118;150;119;150;150;146;134; 144;116;150;118;49;150;150;136;85;150; 150;127;117;150;150;122;150;63;48;66; 80;150;77;51;150;88;81;150;50;150; 83;81;150;84;51;81;86;83;51;77; 150;81;73;51;150;92;69;150;89;51; 137;51;125;82;79;150;72;150;150;79; 150;86;51;113;82;86;50;150;83;150; 82;50;150;119;87;150;87;87;50;86; 150;150;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;90; 77;150;150;150;150;88;150;80;82;150; 150;77;150;150;150;150;150;150;150;150; 150;96;75;150;150;104;150;68;150;58; 150;60;63;150;150;60;150;61;150;67; 71;150;150;81;67;150;150;103;150;108; 142;150;75;150;150;73;75;150;71;150; 64;150;112;150;150;63;89;150;150;74; 71;150;150;82;150;77;76;150;64;150; 62;150;150;73;150;103;150;65;85;150; 150;72;150;69;150;68;150;75;150;119; 150;150;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;87;150;150;79; 150;150;150;150;150;150;150;150;150;150; 119;150;150;64;90;150;72;150;150;79; 65;150;150;106;87;150;150;75;66;150; 73;150;64;150;59;150;150;76;150;86; 150;150;150;150;150;150;150;150;150;150; 150;150;150;138;150;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;150; 150;150;150;139;150;150;86;150;138;150; 150;128;150;150;150;150;150;150;150;150; 150;109;150;150;98;150;150;104;105;150; 150;150;150;150;150;83;150;64;111;66; 64;107;148;66;75;133;57;150;70;78; 71;72;80;76;150;72;150;79;150;150; 106;75;150;68;79;70;74;72;150;63; 71;150;61;95;80;71;74;70;150;69; 150;74;73;70;108;74;70;118;132;67; 71;150;72;150;73;77;132;67;62;105; 150;57;118;72;150;70;150;61;56;150; 74;100;72;74;145;72;72;103;74;78; 150;140;112;150;106;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;150; 150;150;91;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;140;85;150; 150;68;150;67;62;150;68;150;150;63; 150;70;74;150;60;150;150;67;69;150; 62;150;150;62;79;150;81;150;69;150; 150;64;70;150;72;136;64;150;150;66; 150;61;150;62;61;150;150;66;150;62; 63;150;66;150;60;150;150;63;150;64; 150;150;150;150;67;150;150;60;87;150; 150;74;68;150;114;98;150;150;150;150; 150;150;150;150;150;150;150;150;114;74; 150;63;58;150;60;68;58;77;65;60; 70;60;66;72;71;61;72;65;62;77; 75;69;76;74;68;79;149;74;76;150; 51;124;79;50;93;50;51;74;150;52; 51;133;51;69;49;79;52;72;77;51; 86;150;68;51;52;80;53;134;73;90; 71;50;72;51;128;51;52;72;52;72; 51;133;53;121;77;51;52;78;88;73; 88;90;50;117;50;115;50;85;51;129; 150;150;150;150;150;150;138;150;81;150; 150;150;150;150;150;150;150;150;150;79; 150;78;150;78;150;68;150;63;150;78; 150;76;65;72;75;104;84;150;150;110; 150;150;87;150;89;150;150;118;95;150; 71;150;150;85;76;150;125;150;150;117; 73;150;76;150;65;150;150;71;150;64; 86;150;150;67;150;57;67;150;150;75; 68;150;71;150;75;150;150;76;150;70; 71;150;66;150;150;85;65;150;150;69; 150;76;65;150;79;150;150;74;150;75; 102;150;150;66;150;69;150;62;70;150; 150;73;66;75;115;150;69;150;117;81; 67;150;150;74;150;78;95;82;77;116; 76;138;113;106;88;150;117;86;73;122; 116;86;101;115;78;122;127;78;87;93; 102;109;150;122;114;116;132;117;122;120; 135;117;120;127;137;51;115;50;123;107; 90;80;121;78;75;129;85;77;48;74; 90;71;48;97;77;73;115;101;85;97; 125;75;70;86;109;75;81;81;70;74; 75;114;75;121;104;86;75;66;83;69; 52;89;64;76;78;82;74;114;77;72; 80;51;75;75;60;73;84;49;87;54; 150;150;150;150;150;150;150;113;150;150; 150;150;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;150; 150;150;150;150;150;144;86;150;150;68; 82;150;150;50;150;49;52;150;52;150; 53;150;54;150;148;55;150;63;150;60; 107;132;91;150;123;80;73;108;108;75; 75;107;150;81;85;83;82;100;113;139; 90;72;96;150;80;150;126;94;131;103; 150;74;76;78;82;78;94;81;128;120; 90;82;74;67;84;77;79;150;78;99; 94;108;78;104;150;87;150;83;111;106; 76;150;150;77;77;150;150;78;80;150; 83;150;80;150;150;82;86;150;84;150; 150;82;86;150;86;150;84;150;150;87; 150;115;150;85;127;150;150;150;150;48; 48;150;150;48;150;49;47;150;89;48; 48;82;49;76;48;86;49;88;121;49; 65;53;52;68;91;65;89;69;72;122; 52;85;134;76;86;113;88;71;72;103; 131;87;123;68;72;56;65;116;72;72; 104;92;73;112;63;99;104;95;77;115; 82;74;104;73;78;73;116;70;115;71; 62;103;75;86;83;53;66;87;112;92; 150;150;150;150;150;150;150;150;150;150; 150;117;102;150;114;150;104;150;150;148; 128;150;150;121;85;150;150;77;150;150; 150;150;150;150;150;150;150;150;113;150; 150;61;75;150;150;91;93;150;150;75; 79;150;68;150;135;150;67;150;150;150; 71;150;124;143;114;150;150;150;150;131; 150;111;150;127;150;124;150;150;100;150; 150;150;150;150;150;150;150;150;150;150; 120;150;150;150;123;114;97;150;150;150; 150;150;150;150;150;150;72;150;142;150; 148;150;150;150;112;150;150;150;100;132; 150;150;150;150;150;150;150;76;150;110; 150;150;150;71;81;150;68;150;150;61; 150;150;150;150;150;150;150;150;150;150; 150;61;150;66;150;67;150;74;68;150; 61;150;66;150;150;70;69;150;82;150; 150;150;78;150;150;150;82;150;150;142; 150;149;150;73;66;150;110;150;150;124; 150;80;150;96;97;84;150;105;74;150; 150;112;150;70;89;150;78;150;150;96; 150;73;150;150;150;150;150;77;150;74; 73;150;150;105;123;150;85;150;150;144; 150;104;150;73;150;90;150;70;150;80; 150;150;150;150;150;80;150;78;150;150; 150;150;124;84;150;85;150;120;138;150; 150;87;150;49;150;125;88;150;150;150; 150;86;150;150;119;150;150;125;73;121; 150;129;122;150;119;150;150;150;150;150; 150;150;150;150;150;150;139;150;128;150; 50;80;93;50;50;51;79;83;85;80; 85;50;84;51;49;52;52;63;75;64; 51;50;75;51;50;77;83;85;69;75; 79;76;55;116;71;50;65;88;88;50; 49;97;52;50;50;120;50;82;50;51; 68;88;50;115;79;79;71;72;52;70; 150;150;150;150;150;150;150;150;103;150; 113;150;87;89;150;150;150;94;150;150; 83;99;90;136;150;77;150;150;111;150; 150;108;150;98;81;150;150;150;150;82; 150;148;76;150;150;76;82;150;93;150; 150;150;78;150;70;150;82;150;74;150; 150;150;86;150;78;150;83;111;150;150; 150;150;80;150;150;150;150;150;150;150; 150;150;150;73;150;94;150;150;150;150; 74;150;150;90;150;150;82;150;150;97; 88;69;74;80;89;150;150;150;150;150; 91;150;150;81;150;109;93;150;150;81; 150;150;150;150;133;49;149;51;127;70; 83;129;102;90;150;50;52;70;150;150; 140;49;150;118;121;150;150;86;150;150; 150;111;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;78; 150;150;150;150;150;94;150;150;150;150; 77;142;150;77;77;150;150;81;146;140; 89;126;150;120;107;122;115;150;75;150; 84;150;131;150;132;109;150;150;150;76; 150;150;150;130;150;150;150;150;70;99; 93;146;150;150;136;122;79;150;88;150; 150;87;84;150;95;150;107;134;150;150; 150;150;150;150;150;150;150;150;150;150; 150;68;64;150;77;150;68;150;150;75; 78;150;150;68;64;89;88;80;150;72; 150;84;120;150;150;150;150;150;150;104; 75;150;150;109;74;150;72;150;150;150; 150;150;150;150;78;150;72;150;150;66; 150;94;150;56;63;89;150;60;150;57; 67;55;81;56;53;150;150;150;56;150; 128;66;150;150;150;150;150;58;70;150; 58;68;150;87;61;150;53;150;150;150; 150;150;53;54;63;150;150;65;150;150; 150;85;83;54;150;150;61;86;150;58; 150;150;123;150;150;150;150;92;91;150; 150;150;150;150;150;150;150;150;150;150; 150;150;150;150;150;81;128;150;150;150; 150;150;150;150;150;70;150;90;150;150; 88;150;150;150;132;150;92;150;150;150; 150;150;150;150;150;150;150;150;150;150; 72;150;78;150;150;68;68;150;121;64; 90;65;63;150;150;66;63;150;70;150; 139;81;150;59;150;69;65;150;68;150; 150;65;65;150;63;150;150;65;150;63; 100;66;72;150;67;150;150;67;150;70; 73;126;150;67;71;126;150;61;60;150; 150;150;150;150;150;150;150;150;150;150; 150;150;150;102;97;85;77;67;94;88; 88;111;123;111;150;150;150;150;150;150; 150;85;150;150;67;150;150;93;86;150; 91;150;111;81;150;150;83;75;150;150; 150;67;150;72;150;150;150;150;150;76; 118;76;85;76;81;119;85;74;77;116; 110;88;71;95;86;84;96;94;80;80; 146;89;86;88;115;127;150;120;132;85; 100;91;108;80;136;103;150;150;150;122; 114;78;78;76;79;91;150;96;76;107; 83;81;95;150;123;96;103;82;81;113; 150;150;150;150;150;89;150;120;150;150; 150;150;150;150;95;150;150;150;60;150; 93;150;150;65;78;140;74;150;150;150; 150;150;94;150;115;77;150;91;109;137; 134;109;95;106;109;150;150;138;96;150; 129;150;150;150;125;115;150;127;150;76; 150;82;93;125;150;69;75;133;74;150; 119;84;79;150;135;143;144;77;137;95; 80;150;69;141;78;150;95;150;123;78; 75;150;150;86;132;111;145;65;137;121; 72;150;85;132;80;139;150;83;150;150; 150;84;114;150;79;126;94;123;145;84; 150;150;150;150;150;150;150;125;150;150; 150;145;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;57;147;150; 150;67;140;103;62;150;150;87;72;150; 150;72;150;150;150;147;150;150;150;150; 70;132;136;67;150;69;72;129;66;134; 74;144;76;126;80;123;78;107;80;150; 76;127;127;105;113;132;142;76;118;126; 100;78;150;77;150;78;78;133;150;100; 77;143;76;107;112;79;113;113;150;79; 135;75;150;78;146;77;96;76;75;104; 150;74;112;150;120;150;150;150;84;103; 150;150;150;150;150;150;150;150;150;150; 150;138;150;125;89;150;150;115;124;68; 73;150;150;61;73;150;74;71;150;86; 65;150;86;150;150;82;119;150;150;150; 150;150;150;150;74;75;74;73;92;74; 87;150;106;150;150;150;150;102;88;150; 150;127;71;76;150;150;126;150;73;146; 78;150;150;150;150;73;91;76;72;93; 74;77;82;80;136;150;123;150;69;139; 122;150;69;111;127;82;66;96;136;106; 150;150;73;78;86;150;61;77;63;73; 87;86;92;69;105;81;150;139;150;103; 150;80;150;87;93;150;150;150;137;150; 150;91;150;150;86;150;150;150;150;150; 150;150;150;150;150;150;150;113;150;150; 150;77;150;150;150;150;150;150;150;150; 150;95;150;146;150;150;150;150;150;150; 150;150;150;150;150;125;150;150;150;150; 86;72;143;78;150;90;150;71;79;150; 79;150;77;120;68;134;85;66;91;150; 74;88;89;87;88;82;62;95;68;140; 111;76;119;81;141;81;77;107;101;67; 150;66;120;80;108;111;148;88;139;95; 115;81;89;93;79;76;70;150;100;126; 150;150;150;150;150;150;150;150;116;150; 150;129;145;150;150;150;150;150;150;150; 135;150;150;150;150;150;150;150;150;150; 150;79;78;150;150;80;150;73;150;75; 71;70;62;103;73;77;70;68;68;150; 71;74;72;72;70;61;64;73;73;74; 49;61;79;126;138;79;87;130;80;78; 134;82;65;79;141;82;150;49;78;82; 79;104;74;85;80;104;67;78;126;80; 78;80;139;81;135;117;77;137;80;128; 76;70;76;83;79;143;114;87;79;61; 86;80;84;67;106;79;131;82;81;64; 150;150;150;150;150;150;150;150;150;150; 150;88;150;103;100;66;150;65;125;71; 74;67;64;116;77;85;150;68;71;55; 103;56;81;56;57;150;150;70;58;150; 60;150;98;65;71;138;103;74;150;72; 79;150;150;150;150;150;150;150;76;150; 150;62;69;105;93;92;148;150;62;150; 150;64;107;72;150;68;150;68;150;70; 133;72;95;150;137;88;56;150;71;134; 150;106;150;120;71;150;132;146;106;150; 64;150;150;72;74;143;57;150;77;150; 69;150;75;148;91;99;150;150;90;150; 150;150;150;150;150;150;150;150;117;150; 150;150;150;150;150;123;79;129;150;112; 150;53;133;150;150;150;150;150;150;150; 150;150;150;150;119;150;150;133;150;53; 94;150;130;150;150;53;128;150;150;117; 53;75;73;54;62;52;130;60;58;137; 80;150;84;77;150;75;77;90;150;71; 63;86;51;73;150;68;74;150;81;86; 84;73;58;150;150;74;73;150;150;73; 74;54;150;80;150;69;53;77;68;87; 71;150;150;62;72;150;71;150;150;70; 150;75;74;150;150;71;89;83;150;74; 150;150;150;150;150;150;150;150;150;150; 150;73;71;150;150;150;150;124;150;65; 73;150;66;150;57;150;73;150;150;69; 63;150;150;68;120;150;130;150;150;80; 72;150;150;75;68;150;150;69;150;91; 141;150;78;83;105;144;94;112;150;80; 150;86;49;50;94;49;150;50;87;51; 51;49;50;150;126;138;150;50;49;50; 150;49;150;51;88;150;150;150;150;121; 130;122;150;50;125;52;150;150;150;150; 150;85;119;147;50;133;150;49;133;150; 51;126;137;49;140;150;84;51;49;52; 150;150;150;150;150;89;150;150;150;150; 150;150;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;131;150;91; 74;150;72;150;86;150;150;91;150;80; 118;150;115;150;150;150;150;82;150;74; 71;90;82;88;150;70;75;92;71;150; 138;65;56;114;86;55;103;98;99;56; 83;123;57;81;68;74;58;91;72;150; 58;93;79;61;133;77;56;121;71;65; 70;59;101;112;138;64;56;87;79;113; 54;77;75;150;64;102;55;80;59;124; 71;94;71;150;67;76;80;54;58;90; 150;150;150;150;150;150;150;150;150;150; 150;96;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;150; 150;150;145;150;139;150;150;137;150;108; 88;150;150;86;150;111;85;138;150;133; 150;106;150;84;123;150;150;150;150;150; 150;93;150;150;112;112;105;128;98;150; 150;150;150;150;150;99;150;91;150;150; 140;150;150;150;125;150;150;150;150;150; 52;150;140;150;150;150;150;150;150;150; 142;150;150;69;150;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;150; 150;150;150;150;150;87;65;150;64;150; 150;62;61;150;150;61;150;60;64;150; 64;150;150;70;69;150;71;150;150;66; 115;53;65;79;57;139;66;57;54;136; 70;58;150;57;70;78;86;64;85;94; 93;66;55;70;68;56;75;74;55;70; 68;56;57;79;70;57;89;54;55;66; 57;59;69;108;59;62;71;59;138;87; 56;65;55;62;72;62;59;74;53;71; 150;150;150;142;150;150;129;150;150;110; 100;150;150;49;51;141;83;103;117;140; 145;150;98;150;121;150;150;131;100;150; 150;150;150;96;150;150;150;150;150;87; 150;150;150;145;150;150;150;142;89;150; 82;150;150;93;84;150;97;150;150;111; 150;76;72;150;150;87;76;150;150;124; 127;150;77;150;150;84;150;75;94;150; 150;88;73;150;84;150;150;85;75;150; 150;105;117;150;103;150;82;150;150;84; 106;150;150;85;99;150;83;150;150;69; 100;150;82;150;150;73;77;150;150;73; 150;150;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;150; 142;150;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;150; 105;150;150;150;81;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;150; 150;150;150;150;93;150;150;150;86;150; 150;150;150;150;96;150;116;150;150;105; 139;150;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;150;129;150; 150;150;150;150;150;126;150;150;139;150; 121;150;150;142;110;126;52;142;104;52; 150;50;50;150;150;53;50;150;54;150; 61;150;150;52;60;150;70;150;150;67; 65;150;150;82;150;129;150;106;110;150; 103;116;150;91;150;150;150;150;150;150; 100;125;150;150;150;78;144;150;150;146; 116;150;150;124;128;150;150;150;133;137; 150;150;134;150;150;90;69;150;150;114; 118;150;150;150;150;130;150;107;150;150; 150;121;150;150;84;120;150;117;150;150; 150;150;110;97;150;150;150;150;150;80; 150;150;150;150;150;150;150;150;150;150; 150;150;150;150;134;150;126;150;68;150; 150;59;150;150;65;150;68;150;65;150; 75;150;150;71;150;82;150;150;150;116; 150;150;150;150;150;150;150;150;150;150; 145;150;150;150;150;150;150;69;150;150; 83;150;118;150;82;150;126;150;88;150; 112;150;150;92;72;119;130;87;119;130; 150;108;97;98;150;102;88;150;150;124; 94;112;99;150;121;150;150;100;118;77; 150;85;150;101;77;150;123;150;150;85; 66;150;150;111;93;150;150;111;112;150; 150;150;150;150;150;150;150;150;150;150; 150;150;150;75;150;74;150;73;72;150; 89;55;77;150;150;73;145;72;148;84; 150;75;150;70;85;150;104;84;112;150; 150;150;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;56; 99;116;150;89;150;150;104;88;150;115; 150;150;90;87;84;150;150;150;150;76; 93;130;150;112;150;54;150;150;150;150; 88;91;150;150;150;102;150;150;62;150; 150;150;60;80;91;150;150;52;93;150; 150;54;150;150;150;92;150;95;54;87; 150;150;150;150;150;150;79;150;150;85; 79;150;79;150;150;80;150;150;94;150; 76;150;150;150;150;74;74;150;74;150; 79;150;150;82;65;150;105;73;98;78; 150;105;150;106;103;67;92;90;150;126; 63;150;71;128;63;137;68;150;71;150; 67;150;97;105;150;66;63;91;59;112; 150;62;64;147;150;150;150;99;63;150; 133;60;150;68;67;139;146;150;115;150; 150;61;71;150;150;65;89;150;93;122; 62;110;140;150;70;150;145;63;121;116; 64;150;110;124;66;115;97;150;62;150; 150;150;88;150;150;150;150;150;86;150; 150;114;111;150;150;106;150;150;119;150; 48;131;49;80;117;107;133;86;150;150; 150;150;150;150;150;150;150;150;150;150; 107;72;106;88;60;116;86;150;150;101; 150;110;83;82;116;88;110;48;49;94; 79;47;47;62;47;83;90;47;69;86; 79;112;120;63;57;92;84;79;46;63; 133;79;55;120;111;60;82;113;48;82; 98;80;48;58;89;80;82;118;121;47; 46;82;86;81;80;111;54;47;121;55; 80;125;60;86;55;85;56;83;87;69; 150;150;150;150;150;150;150;150;150;150; 149;150;150;150;150;150;150;150;150;150; 150;97;150;150;150;150;150;63;66;150; 150;150;150;74;71;150;108;150;150;82; 85;77;72;150;69;150;150;150;150;150; 150;150;150;86;96;89;141;150;70;150; 73;122;96;86;72;77;66;150;75;150; 68;57;64;71;146;113;119;136;150;71; 56;120;60;150;125;58;89;126;93;65; 150;121;64;65;150;67;67;68;150;63; 72;147;63;121;71;76;65;126;150;77; 66;125;71;99;150;70;150;65;79;130; }; }; int_Data @["r1"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_75"; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1092715263366699; val_type_fixed=0; }; }; name="r1"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [6000] 0;0;0;0;0;0;1;0;0;1; 0;1;0;1;1;0;1;0;1;0; 0;1;0;1;1;0;1;0;0;1; 0;0;1;0;1;0;0;1;0;0; 1;0;0;1;1;0;0;0;1;0; 0;0;0;0;0;1;0;0;0;0; 0;1;1;0;1;0;0;0;0;1; 0;1;0;1;0;0;1;0;0;1; 1;0;0;0;1;0;0;0;1;0; 0;0;0;0;0;1;1;0;0;0; 0;0;1;0;0;1;0;0;0;0; 0;0;0;0;0;0;0;1;0;1; 0;0;0;0;1;0;0;0;0;0; 1;0;0;0;1;0;0;0;0;0; 0;0;1;0;0;0;0;1;0;1; 0;1;1;0;0;1;1;0;0;1; 0;0;0;1;1;0;1;0;0;1; 0;1;0;0;0;1;0;1;0;1; 0;1;0;1;1;0;1;0;0;0; 0;0;0;1;0;0;0;0;0;0; 0;0;0;1;1;0;0;1;0;0; 0;0;0;0;0;1;0;1;0;1; 0;0;0;0;0;0;0;0;0;0; 0;0;1;0;0;0;0;0;1;0; 1;0;0;1;0;0;0;0;1;0; 0;0;0;1;0;0;0;1;0;0; 0;0;1;0;1;0;1;0;0;1; 1;0;0;1;1;0;0;1;1;0; 0;1;1;0;1;0;0;1;1;0; 0;1;0;1;0;1;0;1;1;0; 0;1;0;1;0;1;1;0;0;0; 1;0;0;1;1;0;1;0;0;1; 0;0;0;0;0;1;0;1;0;1; 1;0;0;0;0;1;0;1;1;0; 0;0;0;1;0;1;0;0;0;0; 0;1;1;0;1;0;1;0;0;1; 0;0;0;1;1;0;0;1;0;1; 0;1;0;0;0;0;1;0;0;1; 0;0;0;1;1;0;0;1;0;1; 1;0;0;1;0;1;0;1;0;1; 0;1;1;0;1;0;1;0;0;0; 1;0;0;0;0;1;0;0;0;1; 0;1;1;0;1;0;1;0;1;0; 0;1;0;1;0;1;1;0;0;1; 1;0;0;1;1;0;1;0;0;1; 0;1;1;0;1;0;0;1;1;0; 0;1;1;0;0;1;1;0;1;0; 0;1;1;0;0;1;1;0;1;0; 0;1;1;0;0;0;0;1;0;1; 0;0;0;0;0;0;0;0;0;1; 1;0;1;0;1;0;0;1;0;1; 0;1;0;0;0;0;0;0;0;1; 0;1;1;0;0;1;1;0;1;0; 0;1;0;0;0;0;0;1;0;0; 1;0;0;1;1;0;0;1;0;0; 1;0;1;0;1;0;1;0;1;0; 1;0;0;1;1;0;1;0;1;0; 1;0;1;0;0;1;1;0;1;0; 0;1;0;1;1;0;1;0;1;0; 1;0;0;1;0;1;1;0;1;0; 0;1;1;0;0;0;0;0;0;1; 1;0;1;0;1;0;0;0;1;0; 0;0;0;0;0;0;1;0;0;0; 0;0;0;1;0;0;0;0;1;0; 1;0;0;1;1;0;0;1;0;1; 0;1;0;1;1;0;1;0;1;0; 0;0;1;0;1;0;0;1;0;0; 1;0;0;0;1;0;1;0;0;1; 1;0;1;0;0;1;1;0;1;0; 0;1;0;1;0;0;0;0;1;0; 1;0;0;1;0;1;1;0;1;0; 1;0;0;1;1;0;1;0;1;0; 0;0;1;0;0;1;1;0;0;0; 0;0;0;0;0;0;1;0;1;0; 1;0;0;0;0;1;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;1;0;0;0;0;1;1;0; 1;0;0;1;1;0;1;0;0;1; 0;1;0;1;1;0;1;0;1;0; 1;0;0;1;1;0;1;0;1;0; 0;1;1;0;1;0;1;0;0;1; 1;0;1;0;0;1;1;0;1;0; 0;1;0;1;0;1;1;0;0;1; 1;0;0;1;0;1;0;1;1;0; 0;0;0;0;1;0;0;0;0;0; 0;1;0;1;0;0;1;0;0;0; 0;1;0;1;0;1;0;1;0;0; 0;0;0;0;0;0;0;0;1;0; 1;0;1;0;0;1;1;0;0;1; 1;0;1;0;1;0;1;0;1;0; 0;1;1;0;0;1;0;1;0;1; 1;0;1;0;0;1;0;1;1;0; 1;0;1;0;0;1;1;0;0;1; 0;1;1;0;0;1;1;0;0;1; 1;0;0;1;1;0;1;0;1;0; 0;1;0;1;0;1;1;0;0;1; 0;0;1;0;0;0;1;0;0;0; 0;1;0;0;0;1;0;0;0;1; 0;0;0;1;1;0;0;1;1;0; 1;0;0;0;0;1;1;0;1;0; 1;0;0;0;1;0;0;1;0;1; 0;1;0;0;0;1;1;0;0;0; 1;0;0;0;1;0;0;0;1;0; 0;1;0;0;0;1;0;0;0;1; 0;0;0;0;0;1;1;0;1;0; 1;0;0;1;0;0;0;0;1;0; 0;0;0;0;1;0;0;1;0;1; 0;1;0;1;1;0;0;1;1;0; 0;0;1;0;0;0;1;0;0;0; 0;0;1;0;0;1;0;0;0;1; 1;0;0;1;1;0;0;1;0;1; 1;0;0;1;1;0;1;0;0;1; 1;0;0;1;0;0;0;0;0;1; 0;1;0;0;0;0;0;1;1;0; 0;1;0;1;0;1;0;1;0;1; 1;0;1;0;0;1;0;1;1;0; 0;0;0;1;0;1;0;1;1;0; 1;0;0;1;0;1;0;1;0;1; 0;1;1;0;1;0;1;0;1;0; 0;0;1;0;1;0;0;1;0;0; 0;0;0;1;1;0;1;0;0;1; 0;1;0;1;1;0;0;0;1;0; 1;0;1;0;0;0;0;1;1;0; 1;0;0;1;1;0;1;0;0;0; 0;1;1;0;0;1;0;0;0;0; 0;1;0;0;0;0;0;1;0;1; 0;0;0;1;1;0;1;0;1;0; 0;1;0;1;0;1;0;1;0;1; 1;0;0;1;1;0;0;1;1;0; 0;1;1;0;1;0;0;1;0;0; 1;0;1;0;1;0;1;0;0;1; 0;1;0;1;0;1;0;1;1;0; 0;1;0;1;0;0;0;0;1;0; 0;1;0;1;0;1;0;0;1;0; 1;0;0;1;0;1;1;0;1;0; 1;0;0;1;0;1;1;0;0;1; 1;0;1;0;0;1;0;1;1;0; 0;0;0;1;1;0;0;0;0;1; 0;1;0;1;0;1;0;1;1;0; 0;1;1;0;0;1;0;1;1;0; 0;1;1;0;0;1;0;1;1;0; 0;1;0;1;0;1;0;1;0;1; 1;0;1;0;0;1;1;0;0;1; 0;1;0;1;1;0;1;0;1;0; 0;1;0;1;0;0;0;0;1;0; 1;0;0;0;0;1;0;1;0;0; 0;1;0;0;1;0;0;0;0;0; 0;0;1;0;0;1;0;1;0;0; 0;0;0;0;0;0;1;0;0;1; 1;0;0;1;0;1;0;1;1;0; 1;0;0;0;0;0;1;0;0;1; 0;0;1;0;0;1;0;0;0;1; 0;0;0;0;1;0;0;0;0;1; 0;0;0;1;0;0;0;0;0;1; 0;0;0;1;0;0;0;0;1;0; 0;0;0;0;1;0;0;1;0;0; 0;1;0;0;0;0;0;1;1;0; 0;1;1;0;0;0;0;0;0;0; 0;1;0;0;1;0;1;0;0;0; 0;1;0;0;0;0;1;0;0;0; 0;0;0;0;0;0;0;0;0;1; 0;0;0;0;0;0;0;1;0;1; 1;0;1;0;0;1;1;0;0;0; 0;1;0;1;0;1;0;1;0;1; 0;1;1;0;0;1;1;0;0;0; 0;0;0;0;1;0;1;0;0;1; 0;1;0;0;1;0;0;0;1;0; 1;0;0;0;1;0;0;1;0;1; 1;0;0;1;0;0;1;0;0;0; 0;0;1;0;1;0;0;0;1;0; 0;1;0;0;0;0;1;0;0;1; 1;0;0;0;0;0;0;0;0;0; 0;1;1;0;0;1;0;1;0;1; 0;1;1;0;0;1;0;1;0;1; 1;0;0;1;1;0;0;1;0;0; 1;0;1;0;0;1;1;0;1;0; 1;0;0;0;0;1;1;0;0;1; 1;0;0;1;0;1;1;0;1;0; 1;0;0;1;0;0;0;1;1;0; 0;1;0;1;0;1;0;1;0;0; 0;0;0;0;0;0;1;0;0;1; 0;1;1;0;0;0;0;0;1;0; 0;0;0;1;1;0;0;1;0;0; 0;0;0;0;0;1;0;1;1;0; 0;0;0;0;0;0;0;0;0;1; 0;1;0;0;0;1;1;0;0;0; 0;0;1;0;1;0;1;0;0;0; 0;1;1;0;1;0;0;1;0;0; 1;0;0;1;0;0;1;0;0;0; 1;0;0;0;1;0;0;0;0;0; 0;0;0;0;1;0;1;0;0;0; 0;0;0;0;0;1;1;0;0;1; 0;0;1;0;0;1;0;0;0;1; 0;0;1;0;1;0;0;0;0;0; 1;0;0;0;0;1;1;0;0;0; 0;0;0;0;0;1;0;1;0;1; 1;0;0;1;1;0;1;0;1;0; 1;0;0;1;0;1;0;1;0;0; 0;1;0;1;0;1;0;1;0;1; 1;0;1;0;0;1;0;1;0;1; 0;1;0;1;0;1;1;0;0;1; 1;0;1;0;1;0;0;1;1;0; 0;1;0;1;0;1;0;1;1;0; 1;0;1;0;0;1;1;0;1;0; 0;1;1;0;1;0;0;1;0;0; 0;1;1;0;0;0;1;0;1;0; 0;1;0;0;0;0;1;0;1;0; 0;0;0;0;0;0;0;1;0;0; 0;0;0;1;0;1;0;0;0;0; 1;0;0;0;0;0;0;1;1;0; 0;1;0;1;1;0;1;0;0;1; 0;1;1;0;1;0;0;1;1;0; 1;0;0;1;1;0;1;0;1;0; 0;1;1;0;1;0;1;0;0;1; 0;1;0;1;1;0;0;1;0;1; 1;0;1;0;1;0;0;1;0;1; 0;0;0;0;1;0;0;1;1;0; 0;1;1;0;0;1;1;0;0;0; 0;1;1;0;0;0;0;1;0;0; 0;0;0;0;0;1;0;1;1;0; 1;0;0;1;1;0;1;0;0;1; 1;0;1;0;0;1;0;1;1;0; 1;0;0;1;0;1;1;0;0;1; 1;0;1;0;1;0;1;0;0;1; 0;0;0;1;1;0;1;0;0;0; 0;1;0;1;0;1;1;0;1;0; 1;0;1;0;0;1;1;0;0;0; 0;0;1;0;1;0;1;0;1;0; 0;1;0;1;0;0;0;1;0;0; 0;1;0;0;0;0;0;0;0;1; 0;1;0;1;0;1;0;1;0;1; 0;1;1;0;0;1;1;0;0;1; 0;0;1;0;1;0;0;0;1;0; 1;0;0;1;1;0;0;0;0;1; 1;0;1;0;1;0;0;1;0;1; 1;0;0;1;0;1;1;0;0;1; 1;0;1;0;1;0;0;1;0;1; 1;0;1;0;0;1;1;0;0;1; 0;1;1;0;1;0;0;1;0;1; 0;0;0;1;0;1;0;1;1;0; 0;0;1;0;0;0;1;0;0;1; 1;0;0;1;0;1;1;0;1;0; 1;0;0;1;1;0;0;1;1;0; 0;1;1;0;1;0;0;1;1;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 0;1;0;1;1;0;0;1;0;1; 1;0;0;1;0;1;0;1;0;1; 0;1;0;1;0;1;0;0;0;1; 1;0;1;0;1;0;1;0;0;0; 1;0;0;1;0;1;1;0;0;1; 0;1;0;1;0;1;0;0;0;1; 0;1;0;0;0;0;0;1;1;0; 1;0;0;0;0;0;1;0;1;0; 0;1;0;0;0;1;0;0;0;1; 0;0;0;0;0;1;1;0;0;1; 1;0;1;1;0;1;1;0;1;0; 1;0;1;0;1;1;0;1;1;1; 0;1;0;0;1;0;0;0;0;0; 0;0;1;0;0;0;0;0;0;0; 0;0;0;0;0;1;0;0;1;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;1;0;0; 0;0;0;0;1;0;1;0;1;0; 0;1;1;0;0;1;0;0;0;0; 0;0;0;1;0;0;0;1;0;0; 1;0;0;0;0;0;0;1;0;0; 0;0;1;0;0;1;0;1;0;0; 0;0;0;0;0;0;0;0;1;0; 0;1;0;1;0;1;0;1;1;0; 0;0;0;0;0;0;0;0;0;0; 0;0;1;0;0;1;1;0;0;0; 1;0;1;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 1;0;0;0;0;0;1;0;0;0; 0;0;0;1;1;0;0;1;0;0; 0;0;0;0;0;0;0;0;0;1; 0;1;1;0;0;1;0;0;1;0; 0;0;0;0;0;1;1;0;0;1; 0;0;0;0;0;0;0;0;1;0; 0;1;1;0;0;1;1;0;0;1; 1;0;1;0;1;0;1;0;0;1; 0;0;0;1;1;0;0;0;1;0; 0;1;0;1;1;0;1;0;0;1; 0;0;1;0;0;0;0;1;1;0; 0;0;1;0;0;1;0;1;1;0; 0;1;0;1;0;1;0;1;0;0; 0;1;0;0;0;1;1;0;0;1; 0;0;0;1;0;1;1;0;0;0; 0;1;0;0;0;1;0;1;1;0; 0;0;0;0;0;1;0;0;1;0; 1;0;0;0;0;0;0;0;0;1; 0;0;0;1;0;0;0;1;0;1; 1;0;0;0;0;1;0;1;1;0; 1;0;1;0;0;0;0;1;1;0; 0;0;0;0;1;0;0;0;0;0; 1;0;0;0;0;0;0;0;0;0; 1;0;1;0;0;0;1;0;1;0; 0;1;1;0;0;0;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;1;0;0;0;1;0; 0;0;1;0;1;0;1;0;0;1; 0;0;1;0;0;0;0;0;1;0; 1;0;1;0;0;1;1;0;0;0; 0;0;0;0;0;1;0;0;0;0; 0;0;0;1;0;0;0;0;0;1; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;1;0; 0;0;0;0;0;1;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;0;1;0;1;0;1;0;0;0; 0;0;0;0;0;1;0;0;1;0; 0;0;0;0;0;0;0;0;0;1; 0;0;0;0;0;0;0;0;0;0; 0;1;1;0;0;1;1;0;1;0; 0;0;1;0;1;0;1;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;1;0;1;0;0;0;1;0;0; 0;0;0;0;0;0;0;0;1;0; 0;0;0;0;0;0;0;1;1;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;1;0;0;0;0;0;0;0; 0;0;0;1;0;0;0;0;1;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;1;0;0;1;0;0;1; 0;1;0;0;0;0;1;0;0;0; 1;0;0;1;0;0;1;0;0;0; 0;1;1;0;0;1;0;0;1;0; 0;0;1;0;1;1;0;1;0;1; 0;0;0;0;0;0;0;1;1;0; 0;1;0;0;0;1;1;1;0;1; 0;0;0;1;1;0;0;0;1;0; 1;0;0;1;0;1;0;0;1;0; 1;0;0;0;0;0;0;0;1;0; 1;0;0;0;0;0;0;1;0;0; 0;0;0;0;1;0;1;0;0;1; 0;1;1;0;0;1;0;0;0;1; 1;0;0;1;1;0;1;0;1;0; 0;0;0;0;0;1;0;1;1;0; 0;0;1;0;0;0;1;0;0;0; 0;0;0;0;0;0;0;0;0;1; 0;0;0;0;0;1;0;0;0;0; 0;0;0;1;0;0;0;0;0;0; 1;0;0;0;0;0;1;0;0;0; 0;0;0;0;1;0;0;1;0;0; 0;0;1;0;0;1;0;1;1;0; 0;1;0;1;0;1;0;0;1;0; 1;0;0;1;0;0;0;0;0;0; 0;1;0;0;1;0;0;0;1;0; 0;0;1;0;0;0;0;1;0;1; 0;1;1;0;0;0;0;0;0;0; 1;0;1;0;0;1;1;0;0;1; 0;1;1;0;0;1;1;0;1;0; 0;1;0;1;0;1;1;0;1;0; 0;1;1;0;1;0;0;1;0;1; 0;1;1;0;1;0;0;1;0;1; 1;0;0;1;1;0;0;1;1;0; 0;0;1;0;0;0;1;0;0;1; 1;0;1;0;0;0;0;0;0;0; 0;0;1;0;0;0;0;0;0;0; 1;0;0;0;0;1;1;0;0;1; 0;1;0;0;1;0;0;0;1;0; 0;0;0;0;0;0;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;0;0;0;0;0;1;0; 1;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;1;0;1; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;1;1;0;0;1; 0;0;1;0;0;1;0;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;0;0;0;1;0;1;0;0;0; 0;0;0;1;1;0;0;0;1;0; 0;0;0;1;0;0;0;0;0;0; 0;1;1;0;0;1;1;0;1;0; 0;1;1;0;0;0;0;1;0;1; 1;0;1;0;1;0;1;0;0;1; 1;0;0;1;0;1;0;1;0;0; 1;0;1;0;1;0;0;1;0;0; 0;1;0;0;1;0;1;0;0;1; 0;1;1;0;1;0;0;0;0;0; 0;0;0;0;0;1;0;0;1;0; 0;0;1;0;0;0;0;0;0;1; 1;0;0;0;0;0;1;0;0;0; 0;0;0;0;1;0;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;1;0;0;0;1;0;1; 0;0;0;0;0;0;0;0;0;0; 1;0;1;0;0;0;0;0;0;1; 0;0;0;1;1;0;1;0;0;0; 0;0;0;0;0;0;1;0;0;1; 0;0;0;0;0;0;0;1;1;0; 0;1;0;0;1;0;0;0;0;0; 0;1;0;1;1;0;0;0;0;1; 1;0;0;1;1;0;1;0;0;1; 1;0;1;0;0;1;1;0;0;1; 0;0;0;0;1;0;1;0;1;0; 1;0;1;0;1;0;0;1;0;1; 0;0;0;1;0;0;0;0;0;0; 0;0;0;0;1;0;1;0;0;1; 1;0;1;0;0;0;0;1;0;1; 0;0;0;1;0;0;0;1;0;0; 1;0;0;1;1;0;0;1;0;1; 0;1;1;0;1;0;0;1;0;1; 0;0;0;0;0;0;1;0;1;0; 0;0;1;0;0;0;0;0;0;1; 0;0;1;0;1;0;0;0;0;1; 0;0;0;0;1;0;0;1;0;1; 0;0;0;0;0;0;1;0;0;0; 1;0;0;1;0;0;0;0;0;0; 1;0;0;0;0;0;1;0;0;1; 0;0;0;0;0;1;1;0;0;1; 0;1;1;0;0;1;0;1;0;1; 1;0;0;0;1;0;0;1;1;0; 1;0;1;0;0;1;1;0;0;0; 1;0;0;1;1;0;0;1;1;0; 0;0;1;0;1;0;0;1;0;0; 0;0;0;0;0;0;0;1;0;1; 0;0;0;0;0;0;0;0;0;0; 0;1;1;0;0;1;0;1;0;1; 1;0;1;0;1;0;0;1;1;0; 1;0;1;0;1;0;0;1;1;0; 0;1;0;0;0;0;0;0;0;1; 0;0;1;0;0;0;0;0;1;0; 0;1;1;0;0;1;1;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;1;0;1;0;0;0;1;0;1; 1;0;0;1;1;0;0;0;0;1; 1;0;0;0;0;0;0;0;0;0; 0;1;0;1;1;0;0;0;0;1; 0;1;1;0;0;1;0;1;1;0; 1;0;1;0;0;1;1;0;0;0; 0;0;1;0;0;1;1;0;1;0; 0;1;1;0;0;0;0;1;0;0; 0;1;1;0;0;1;1;0;1;0; 0;1;0;1;0;1;0;1;0;1; 0;1;0;0;0;1;1;0;1;0; 0;1;0;0;1;0;0;1;0;0; 1;0;0;1;1;0;1;0;1;0; 1;0;1;0;0;1;0;0;1;0; 0;0;0;1;1;0;0;0;0;1; 0;1;0;1;1;0;0;0;0;1; 0;0;0;1;0;0;0;1;0;0; 1;0;0;0;0;1;1;0;0;0; 0;0;0;1;0;0;0;0;0;0; 0;1;1;0;1;0;0;1;1;0; 1;0;0;1;0;1;1;0;0;1; 1;0;0;1;0;1;1;0;1;0; 0;1;1;0;0;1;1;0;0;1; 1;0;0;1;0;1;0;1;1;0; 1;0;0;1;1;0;1;0;0;1; 0;1;1;0;0;1;1;0;0;1; 0;0;0;1;0;0;0;0;1;0; 0;0;0;0;1;0;1;0;0;0; 0;0;0;0;0;0;0;1;1;0; 0;1;0;0;0;1;0;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;0;1;0;1;0;1;0;0;1; 0;1;0;0;1;0;0;0;1;0; 0;0;0;0;0;0;1;0;0;0; 1;0;0;0;1;0;1;0;0;1; 0;0;0;0;1;0;0;0;0;0; 0;1;1;0;0;0;0;0;0;0; 0;1;0;0;0;0;1;0;0;0; 0;1;0;1;0;0;0;0;1;0; 0;0;0;0;0;1;0;0;0;0; 0;0;0;1;0;0;0;1;0;1; 1;0;1;0;1;0;0;1;0;1; 1;0;1;0;0;1;0;1;0;0; 0;1;0;1;0;1;0;1;0;0; 0;0;1;0;0;1;0;1;0;1; 0;1;1;0;0;0;1;0;0;0; 1;0;0;1;0;0;0;0;1;0; 0;1;0;1;1;0;1;0;0;1; 1;0;0;1;1;0;1;0;1;0; 0;0;0;0;0;0;0;1;1;0; 0;1;0;0;0;0;0;0;1;0; 0;0;0;0;0;1;1;0;0;0; 0;0;1;0;0;0;0;0;0;1; 0;1;0;0;0;0;0;0;0;1; 0;1;0;1;0;0;0;0;0;0; 1;0;0;0;0;0;0;0;0;1; 0;0;0;0;0;0;0;0;1;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;1;0;1;0;0; 0;0;1;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 0;0;0;0;0;0;1;0;0;1; 0;0;0;0;0;0;0;1;0;1; 0;0;0;0;1;0;0;0;0;0; 0;0;0;1;0;0;0;0;0;1; 0;1;1;0;0;0;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;1;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;1;0;0;0;0;0;0;1; 1;0;0;0;0;1;1;0;1;0; 0;0;1;0;1;0;0;1;1;0; 0;0;0;1;0;1;0;1;0;0; 0;0;0;1;0;0;0;1;1;0; 1;0;0;1;1;0;1;0;0;1; 0;1;1;0;0;1;1;0;0;1; 1;0;1;0;0;1;0;1;1;0; 0;1;1;0;1;0;0;1;1;0; 0;0;1;0;1;0;1;0;0;1; 1;0;0;1;1;0;1;0;0;1; 1;0;1;0;0;1;1;0;0;1; 0;0;0;0;0;1;0;1;0;0; 0;0;1;0;0;0;0;0;1;0; 0;1;0;0;1;0;0;1;0;1; 0;1;0;1;0;0;0;0;1;0; 1;0;0;0;0;0;1;0;0;0; 0;0;0;1;0;0;0;0;0;1; 0;0;0;0;1;0;1;0;0;1; 0;0;0;0;0;1;0;0;0;0; 0;0;0;0;1;0;1;0;1;0; 0;0;0;0;1;0;1;0;0;1; 1;0;0;1;0;0;0;0;1;0; 1;0;0;1;0;0;0;0;1;0; 1;0;0;0;1;0;1;0;0;1; 0;1;1;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;1;0;0; 0;1;0;0;0;1;0;1;1;0; 0;1;0;0;0;0;0;0;0;1; 0;0;0;0;1;0;0;0;0;0; 0;1;0;0;1;0;0;1;0;0; 1;0;0;0;1;0;1;0;0;1; 0;1;0;0;0;0;0;1;1;0; 1;0;0;0;1;0;0;0;0;1; 0;1;0;0;0;0;0;0;1;0; 1;0;0;0;0;0;0;1;1;0; 0;0;0;0;0;1;0;0;1;0; 0;1;1;0;0;1;0;0;0;0; 1;0;0;1;0;0;0;1;0;1; 0;1;1;0;0;0;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;0;0;0;0;0;0;1;0;0; 1;0;0;0;1;0;1;0;1;0; 1;0;0;1;1;0;0;1;0;1; 0;0;0;1;0;1;1;0;0;0; 1;0;0;0;0;0;0;1;0;1; 0;1;0;1;1;0;0;0;0;1; 1;0;0;0;1;0;0;1;0;0; 0;0;0;1;1;0;1;0;1;0; 1;0;0;0;0;0;0;0;0;0; 0;0;0;1;0;0;0;0;0;0; 0;0;1;0;0;1;0;0;0;0; 1;0;0;0;0;1;0;0;1;0; 0;0;0;1;0;0;0;1;1;0; 1;0;0;0;1;0;0;1;0;0; 0;0;0;1;0;1;0;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;1;1;0;0;0;0;0;0;0; 0;1;0;0;1;0;0;0;0;0; 0;0;0;0;0;1;0;1;0;0; 0;0;0;0;0;0;1;0;0;1; 1;0;1;0;0;1;0;1;1;0; 1;0;0;0;0;1;1;0;1;0; 1;0;0;1;1;0;1;0;1;0; 0;1;0;1;1;0;1;0;0;0; 0;0;0;0;0;0;0;0;0;1; 1;0;1;0;0;1;1;0;1;0; 0;1;1;0;0;0;0;1;1;0; 0;1;0;1;1;0;0;0;0;0; 0;1;1;0;0;1;1;0;1;0; 1;0;1;0;1;0;0;1;0;1; 1;0;0;1;1;0;0;0;1;0; 0;0;1;0;1;0;0;1;0;1; 0;0;0;1;0;0;0;0;0;1; 0;1;0;1;0;1;0;0;0;1; 0;1;0;1;0;0;0;0;0;0; 0;1;0;1;1;0;1;0;0;1; 0;1;0;1;0;1;1;0;0;1; 1;0;0;1;0;1;0;0;1;0; 1;0;0;1;1;0;0;1;0;1; 0;1;1;0;0;1;1;0;0;1; 0;1;0;1;0;1;1;0;0;0; 0;1;0;1;1;0;1;0;0;1; 1;0;1;0;1;0;1;0;0;1; 0;0;0;0;0;0;1;0;0;0; 0;0;0;0;0;0;0;0;1;0; 0;1;1;0;0;0;0;1;1;0; 0;1;0;1;1;0;0;0;0;1; 0;1;1;0;1;0;1;0;0;0; 0;0;0;1;1;0;0;0;1;0; 1;0;0;1;1;0;1;0;1;0; 1;0;0;1;0;0;0;0;1;0; 0;0;0;1;1;0;1;0;1;0; 0;0;1;0;0;1;0;1;0;0; 0;0;0;1;0;1;0;0;0;1; 0;1;0;1;0;1;0;1;1;0; }; }; int_Data @["r2"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_76"; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1092715263366699; val_type_fixed=0; }; }; name="r2"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [6000] 1;0;1;0;0;1;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;0;0;0;0;0;0;1; 0;0;0;0;0;0;1;0;0;0; 0;1;0;1;0;0;0;1;0;1; 1;0;0;0;0;0;0;0;0;0; 1;0;0;0;0;1;0;0;0;0; 0;1;0;1;0;0;1;0;0;0; 0;1;0;0;0;0;0;0;0;1; 0;0;0;0;0;0;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;0;0;1;0;0;1;0;0;1; 0;0;0;1;0;0;0;1;1;0; 0;1;0;0;0;1;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;0;1;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;1;0;1;0;0;1;0;0; 0;1;1;0;0;0;0;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;0;0;1;0;0;0;0;0;0; 0;0;0;1;0;0;0;0;0;0; 0;0;0;0;0;1;1;0;0;0; 1;0;0;0;1;0;0;0;1;0; 1;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;0;0;0;0;0;0;0;0;1; 0;1;0;0;0;1;0;0;1;0; 0;0;0;0;1;0;0;0;0;0; 0;0;0;0;1;0;0;0;0;0; 0;1;0;0;0;0;0;1;1;0; 1;0;0;1;0;0;0;0;1;0; 1;0;0;0;0;0;0;0;0;0; 0;0;0;1;1;0;0;0;0;0; 1;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;1;0;0; 0;0;0;1;0;0;0;0;0;1; 0;0;0;0;1;0;0;1;0;0; 0;1;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;0;0;1;1;0;0;0;0;0; 1;0;0;0;0;0;0;0;0;1; 0;0;0;0;0;1;0;0;0;0; 0;1;1;0;1;0;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;1;0;1;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;1;0;0;1;0;0;1;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;0;0;1;0;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 1;0;0;0;0;1;0;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;0;0;0;0;1;1;0;0;0; 0;0;0;0;0;0;0;1;0;0; 1;0;1;0;1;0;0;0;1;0; 1;0;0;0;1;0;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;0;0;0;0;1;0;0;0;0; 0;1;1;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 0;0;1;0;1;0;0;0;0;0; 0;1;1;0;0;0;0;1;0;0; 0;1;0;0;0;0;0;0;0;1; 0;1;1;0;1;0;0;0;0;0; 0;0;0;1;0;0;0;0;1;0; 1;0;0;1;0;1;1;0;1;0; 0;1;0;0;1;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;0;1;0;0;0;0;0;0;0; 0;1;1;0;0;1;0;0;0;1; 1;0;0;1;0;1;0;0;1;0; 0;1;0;0;1;0;0;1;0;1; 1;0;1;0;1;0;0;1;0;0; 0;1;1;0;0;0;1;0;0;1; 0;1;0;1;0;0;0;1;1;0; 0;0;0;0;1;0;0;0;0;1; 0;0;0;0;0;0;0;0;0;1; 1;0;1;0;1;0;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;1;0;0;1;0;0;0; 0;1;0;1;0;0;0;0;1;0; 0;0;0;1;0;0;0;1;1;0; 0;0;1;0;0;1;0;1;0;1; 1;0;1;0;0;0;0;0;0;0; 1;0;0;0;1;0;0;0;1;0; 0;0;0;1;0;0;1;0;0;0; 1;0;0;0;0;0;0;0;0;0; 0;0;0;1;0;0;0;0;0;0; 0;0;0;1;0;0;0;0;0;0; 0;0;0;1;0;0;0;0;0;1; 0;1;1;0;0;0;1;0;0;1; 1;0;0;0;1;0;0;0;1;0; 1;0;0;1;1;0;0;1;0;0; 0;1;0;0;0;0;1;0;0;0; 0;1;1;0;0;0;1;0;0;0; 0;0;0;0;0;1;1;0;0;1; 1;0;0;0;1;0;0;0;0;1; 1;0;0;0;0;0;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;1;0;0;0;0; 1;0;1;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;1;0;0;0;0;0;0;1; 0;0;0;0;0;0;0;0;0;0; 1;0;0;0;0;0;0;1;0;1; 1;0;0;0;0;0;0;0;0;1; 0;1;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;1;0;0; 0;0;0;0;1;0;0;0;0;0; 0;0;0;0;0;0;0;0;1;0; 0;0;0;0;0;0;1;0;0;1; 0;0;0;1;1;0;0;0;0;0; 0;0;1;0;0;0;0;0;0;0; 1;0;0;0;0;0;0;0;0;0; 0;0;1;0;0;0;0;0;0;0; 0;0;0;0;0;0;1;0;1;0; 0;1;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 0;0;0;0;1;0;1;0;0;0; 0;0;0;0;0;0;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;0;0;0;0;0;1;0;0;0; 0;0;1;0;0;0;0;0;0;1; 1;0;0;0;0;0;0;0;0;0; 0;0;0;0;1;0;0;0;0;0; 1;0;0;0;0;0;1;0;0;0; 0;0;0;1;0;0;0;0;1;0; 1;0;1;0;0;0;0;1;0;1; 0;0;0;0;1;0;1;0;0;0; 0;0;1;0;0;0;0;0;1;0; 0;0;1;1;0;1;0;1;1;0; 1;0;0;0;0;0;0;0;1;0; 0;1;1;0;1;0;0;0;0;0; 0;0;0;0;0;0;1;0;0;1; 0;0;0;0;0;0;0;0;0;0; 0;0;0;1;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;1;0;0;1;0;0;0;0; 0;0;0;0;1;0;1;0;0;0; 0;0;1;0;0;0;0;0;0;0; 0;0;0;1;1;0;0;0;0;0; 0;0;0;0;0;1;1;0;0;0; 1;0;0;1;1;0;0;0;0;0; 0;0;0;0;1;0;0;1;0;0; 1;0;0;0;0;0;1;0;0;0; 1;0;0;0;0;0;0;1;1;0; 0;0;0;1;0;1;0;0;0;0; 1;0;0;0;0;1;0;0;0;1; 0;0;1;0;0;0;1;0;0;0; 0;0;0;0;1;0;0;0;1;0; 0;1;0;0;0;0;0;1;0;0; 0;0;0;1;1;0;0;0;0;0; 0;0;0;1;1;0;0;1;1;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;1;0;0;0; 0;0;0;0;1;0;0;0;0;0; 0;1;0;1;1;0;0;0;0;0; 0;1;0;0;0;0;0;1;0;0; 0;1;1;0;1;0;1;0;0;1; 1;0;1;0;0;0;0;0;1;0; 1;0;1;0;0;1;0;0;0;0; 0;0;0;0;0;1;0;1;0;0; 1;0;0;0;0;0;0;0;1;0; 0;1;1;0;0;0;0;0;0;0; 0;1;1;0;0;1;1;0;0;0; 0;0;0;1;0;0;0;0;1;0; 0;1;0;1;0;1;0;1;1;0; 1;0;0;1;0;0;0;0;1;0; 0;1;0;0;1;0;0;1;1;0; 0;1;1;0;0;0;0;0;0;1; 0;0;1;0;0;1;0;1;0;0; 0;0;0;0;1;0;0;0;0;0; 1;0;0;0;0;0;0;1;0;0; 1;0;0;0;0;0;0;1;1;0; 0;0;1;0;0;0;0;0;0;1; 0;1;0;1;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;1;0;1;0;0;0; 0;0;0;0;1;0;1;0;0;0; 0;0;1;0;0;0;0;0;0;0; 0;1;0;0;0;1;1;0;0;1; 1;0;0;0;0;0;0;0;0;0; 0;0;0;1;1;0;0;0;0;1; 0;0;0;0;0;0;0;0;1;0; 0;0;0;0;0;1;0;0;0;0; 0;0;0;1;1;0;0;0;0;0; 0;0;0;1;0;1;0;0;1;0; 0;1;0;0;0;0;1;0;1;0; 0;0;1;0;0;1;0;0;0;0; 1;0;0;0;0;0;0;0;0;0; 1;0;0;0;0;0;1;0;0;0; 0;1;0;0;0;0;0;1;0;1; 1;0;0;0;0;0;0;1;0;0; 0;0;1;0;0;0;1;0;1;0; 0;1;0;1;0;0;1;0;1;0; 1;0;1;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;1;0; 0;0;0;0;1;0;0;0;1;0; 1;0;0;1;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;1;0;0;0;0;0;0; 0;0;0;1;0;1;0;0;0;1; 0;0;0;0;1;0;0;0;0;1; 0;0;0;1;0;1;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;0;0;0;0;1;0;0; 0;0;0;0;0;0;1;0;0;0; 0;0;0;0;0;1;0;0;0;0; 0;1;1;0;0;0;0;1;1;0; 0;0;0;1;0;1;0;0;1;0; 0;0;0;1;1;0;0;1;1;0; 1;0;0;1;0;1;1;0;0;0; 0;0;1;0;0;0;1;0;0;1; 1;0;0;0;0;1;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;1;0;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;1;0;1;0;0;0;0; 0;0;1;0;1;0;0;0;0;0; 0;0;1;0;0;0;1;0;0;0; 1;0;1;0;1;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;1;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;1;0;0;0;0;0; 1;0;0;0;0;0;0;1;0;0; 0;1;0;0;0;0;0;0;1;0; 0;0;0;0;0;0;0;1;0;0; 0;0;0;0;0;0;1;0;0;1; 0;1;0;0;1;0;0;0;0;1; 0;0;0;1;0;1;0;0;1;0; 1;0;0;0;0;0;0;0;1;0; 0;1;1;0;1;0;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;0;1;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 1;0;0;1;1;0;1;0;0;0; 0;0;0;0;0;0;0;1;0;0; 0;1;1;0;0;0;0;0;0;0; 1;0;0;1;1;0;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;0;1;0;0;0;0;0;0;0; 1;0;0;0;0;1;0;1;1;0; 0;0;0;0;0;1;0;0;0;1; 1;0;0;1;1;0;1;0;0;1; 1;0;1;0;0;0;1;0;1;0; 0;0;0;0;1;0;0;0;0;0; 0;1;0;0;0;0;0;0;1;0; 0;0;1;0;0;0;0;0;0;0; 1;0;1;0;0;0;0;1;0;0; 0;0;1;0;1;0;1;0;0;0; 0;1;0;0;1;0;0;0;0;0; 0;0;0;1;0;0;0;0;0;0; 0;0;0;1;1;0;0;0;0;1; 0;1;0;1;0;1;0;1;1;0; 0;1;0;1;1;0;1;0;0;1; 0;1;0;0;0;1;0;1;0;1; 1;0;0;1;0;0;1;0;0;1; 0;1;0;1;0;1;0;1;0;1; 1;0;1;0;0;0;1;0;0;0; 1;0;0;0;0;0;0;0;0;0; 1;0;0;0;1;0;0;1;0;0; 0;0;0;0;0;0;0;0;1;0; 1;0;0;1;0;0;0;1;1;0; 1;0;0;0;1;0;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;1;0; 1;0;1;0;0;0;0;1;0;0; 1;0;0;1;1;0;1;0;0;0; 1;0;1;0;0;1;1;0;1;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;0;0;0;0;0;0;1; 1;0;0;0;0;1;0;0;0;1; 0;0;0;0;0;0;1;0;1;0; 0;0;0;0;0;0;0;0;0;1; 0;0;1;0;0;1;0;0;0;0; 0;0;0;0;0;0;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;1;0;1;1;0; 0;1;0;0;0;1;1;0;0;0; 0;1;0;1;1;0;0;1;1;0; 1;0;0;0;1;0;0;0;0;0; 0;0;0;1;1;0;0;0;1;0; 0;0;0;0;1;0;0;0;1;0; 1;0;0;1;1;0;0;1;0;0; 1;0;0;1;0;0;0;0;1;0; 1;0;0;0;0;0;1;0;0;1; 0;0;1;0;0;0;0;0;1;0; 1;0;0;0;0;0;1;0;0;1; 0;1;1;0;1;0;1;0;0;1; 0;0;0;1;0;1;1;0;0;0; 0;0;0;1;0;1;0;0;1;0; 0;1;1;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;1;0;0;0;0;0;0; 1;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;1;0;0;0; 0;0;1;0;1;0;0;0;0;1; 0;0;0;0;0;0;0;0;1;0; 0;1;0;0;0;0;0;0;0;0; 0;0;0;0;0;1;0;0;1;0; 1;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;1;0;0; 0;0;0;0;0;1;1;0;1;0; 0;0;0;1;0;0;1;0;0;0; 0;1;0;0;0;1;0;0;0;0; 0;0;0;0;1;0;0;1;1;0; 0;1;0;1;0;0;0;1;1;0; 1;0;0;1;0;0;0;1;0;0; 0;0;1;0;0;0;0;0;0;0; 1;0;0;0;0;1;1;0;1;0; 1;0;0;1;0;1;1;0;1;0; 0;1;0;0;0;1;0;0;0;0; 0;1;0;0;0;1;0;0;0;0; 0;0;0;0;1;0;1;0;1;0; 1;0;0;0;0;1;0;1;1;0; 0;0;1;0;0;0;0;0;0;0; 0;0;0;1;0;0;1;0;0;0; 0;1;0;1;1;0;0;0;1;0; 1;0;1;0;0;1;1;0;0;1; 1;0;0;0;0;0;0;1;0;0; 0;1;0;0;0;0;0;0;0;1; 1;0;0;0;1;0;0;0;1;0; 1;0;0;1;0;1;1;0;0;0; 0;0;0;0;1;0;0;0;0;1; 1;0;1;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 0;0;0;0;0;0;0;0;1;0; 0;1;0;1;0;0;0;0;0;0; 1;0;0;0;0;1;0;0;0;0; 0;0;0;0;0;0;1;0;1;0; 0;0;0;0;0;0;0;0;0;1; 0;0;0;0;0;1;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;0;0;1;0;0;0;0;0;0; 1;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;1;0;1; 1;0;1;0;0;0;0;0;0;0; 1;0;0;0;0;0;0;0;0;0; 0;0;0;1;0;1;0;0;0;0; 1;0;0;1;0;1;0;0;1;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;1;1;1;1;0;0;1; 0;0;0;0;0;0;0;1;0;0; 0;1;0;0;0;0;0;1;1;0; 1;0;1;0;1;0;0;0;0;0; 0;0;1;1;1;0;1;0;0;1; 0;0;1;0;0;0;0;1;1;0; 0;0;0;0;0;0;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;0;0;1;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;1;0;0; 0;0;1;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;0;0;0;0;0;0;1; 0;0;0;0;0;1;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;1;1;0;0;0;0;0; 0;1;0;0;1;0;0;1;0;0; 0;1;0;0;0;0;0;1;0;0; 0;1;1;0;0;0;0;0;0;0; 0;1;0;1;0;1;0;0;0;1; 0;0;0;0;0;0;1;0;0;1; 0;1;0;1;0;1;0;1;1;0; 1;0;1;0;1;0;0;1;1;0; 1;0;0;1;1;0;1;0;1;0; 0;1;0;1;0;1;1;0;0;1; 0;1;0;1;1;0;0;1;0;1; 0;1;1;0;0;1;1;0;0;0; 1;0;0;0;0;0;0;0;1;0; 0;1;1;0;1;0;0;0;0;0; 1;0;0;1;0;1;1;0;0;1; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;0;0;1;1;0;0;1;0;0; 1;0;0;0;1;0;1;1;0;0; 0;0;0;0;0;0;0;0;1;0; 0;0;1;0;0;1;0;1;0;1; 0;0;0;0;0;1;1;0;0;0; 0;0;0;0;0;0;1;0;0;0; 0;0;0;1;0;1;0;1;1;0; 0;0;0;0;0;0;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 0;1;0;0;0;0;0;0;0;0; 0;0;0;0;0;1;0;0;0;1; 1;0;0;0;0;0;0;0;0;1; 1;0;0;0;0;0;0;0;1;0; 1;0;0;0;1;0;0;1;0;1; 0;0;0;1;0;0;1;0;0;1; 0;0;0;0;0;1;0;1;0;1; 0;0;0;0;0;0;0;1;0;0; 1;0;0;0;0;0;1;0;0;0; 0;0;0;0;0;0;1;0;0;0; 1;0;0;0;1;0;0;0;1;0; 0;0;1;0;0;0;0;0;1;0; 0;1;0;0;1;0;0;1;1;0; 0;0;0;0;0;0;0;0;0;0; 0;1;1;0;0;0;0;1;0;0; 0;1;0;0;1;0;0;1;0;1; 1;0;0;1;1;0;0;1;1;0; 0;0;0;0;1;0;0;0;0;1; 0;0;1;0;0;0;0;1;1;0; 0;0;0;0;0;0;0;1;0;0; 0;1;0;0;1;0;1;0;0;0; 1;0;0;1;0;0;0;0;1;0; 0;1;0;1;0;1;0;0;0;0; 0;0;1;0;0;0;0;1;1;0; 0;0;1;0;0;1;1;0;1;0; 0;1;0;0;0;0;0;0;0;0; 1;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;1;0;0;0;0; 0;0;1;0;0;1;0;1;0;0; 1;0;0;0;0;0;0;0;0;1; 0;0;0;1;0;1;1;0;0;1; 0;0;1;0;0;0;0;0;0;0; 0;0;0;0;0;1;0;1;0;0; 1;0;1;0;0;0;1;0;0;1; 0;1;0;0;1;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;1;0; 0;0;0;0;0;0;0;0;0;1; 0;0;0;1;1;0;1;0;1;0; 0;0;0;1;0;0;0;1;0;1; 0;1;0;0;0;0;0;0;0;0; 0;0;1;0;0;0;0;1;1;0; 0;0;0;0;0;1;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 0;0;0;1;1;0;0;1;0;0; 1;0;1;0;0;0;0;0;0;1; 0;1;0;0;1;0;0;1;0;0; 0;0;1;0;1;0;1;0;0;0; 0;0;0;0;0;1;1;0;1;0; 0;0;0;1;0;1;0;1;0;0; 0;1;1;0;0;1;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;1;0;0;0;0;0;0; 1;0;0;0;0;0;1;0;0;1; 1;0;0;0;0;0;1;0;0;0; 1;0;1;0;0;1;1;0;0;0; 1;0;0;1;0;1;0;0;0;0; 1;0;0;1;1;0;0;0;0;0; 0;1;1;0;0;0;0;1;0;1; 1;0;0;0;1;0;1;0;0;0; 0;0;0;0;0;1;0;0;1;0; 0;0;0;0;0;0;0;0;0;0; 0;0;1;0;0;0;0;0;0;1; 1;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;1;0;0; 1;0;0;0;0;1;0;1;0;0; 0;0;1;0;0;0;0;0;0;0; 1;0;0;0;0;0;0;0;0;0; 1;0;0;0;0;0;0;0;1;0; 0;1;0;0;1;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;0;0;1;0;0;0;0;1;0; 0;1;0;0;1;0;1;0;0;0; 1;0;0;1;0;0;0;0;0;1; 1;0;0;0;0;0;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;1;0;0;0;1;1;0; 1;0;1;0;0;0;0;0;1;0; 0;1;0;0;1;0;1;0;0;0; 0;0;0;1;0;0;0;0;0;0; 0;0;0;0;0;1;0;1;0;0; 0;0;0;1;1;0;0;0;1;0; 1;0;0;0;1;0;0;1;0;0; 0;0;0;1;0;1;0;0;1;0; 0;0;1;0;1;0;1;0;0;0; 0;1;1;0;0;0;0;1;0;1; 0;1;1;0;0;1;0;0;0;0; 0;1;0;0;0;0;1;0;0;1; 0;0;0;0;0;0;0;0;0;1; 0;0;0;1;0;0;0;0;0;0; 0;0;0;0;0;1;0;1;1;0; 1;0;0;1;1;0;0;0;0;1; 0;0;1;0;0;0;0;0;0;0; 0;0;1;0;0;0;1;0;0;0; 0;0;0;0;0;0;0;1;0;1; 0;0;1;0;0;0;1;0;1;0; 0;1;1;0;0;1;0;0;1;0; 0;0;1;0;0;0;0;0;0;0; 0;1;0;1;0;1;0;1;1;0; 1;0;0;0;0;1;0;1;0;1; 0;0;1;0;1;0;1;0;0;1; 1;0;1;0;0;0;1;0;0;0; 0;0;0;0;0;0;1;0;0;1; 0;0;0;0;0;1;0;0;0;0; 0;0;0;0;0;1;0;0;0;1; 0;1;1;0;1;0;0;1;1;0; 0;0;1;0;0;1;0;0;0;1; 0;1;0;1;0;0;0;1;0;0; 0;1;1;0;0;0;0;0;1;0; 1;0;0;0;1;0;0;1;0;0; 0;0;0;0;0;1;0;0;0;0; 0;0;1;0;0;0;0;1;0;0; 0;1;1;0;0;1;1;0;0;0; 1;0;0;0;0;0;0;0;0;0; 0;0;0;1;0;1;0;1;1;0; 1;0;0;0;0;1;0;1;0;1; 1;0;0;0;0;0;0;1;0;1; 0;0;0;1;0;0;0;1;0;0; 1;0;0;0;0;1;0;0;0;0; 0;1;0;1;0;1;1;0;1;0; 0;0;1;0;1;0;0;0;0;0; 1;0;1;0;0;0;0;1;1;0; 1;0;0;0;0;1;1;0;0;0; 0;0;0;0;0;0;0;0;1;0; 0;0;0;1;1;0;0;0;0;1; 1;0;1;0;1;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;1;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;1;1;0;0;1;0;1; 0;0;0;1;0;1;0;0;0;1; 1;0;1;0;0;0;0;0;0;0; 0;0;0;0;1;0;0;1;0;1; 0;1;0;0;0;0;0;0;0;0; 0;0;1;0;0;0;1;0;0;1; 0;1;0;0;0;0;0;0;0;0; 1;0;0;0;1;0;1;0;0;0; 0;0;0;0;0;0;1;0;0;0; 0;0;0;0;0;1;0;1;1;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 0;0;0;0;0;1;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;0;0;0;1;0;0;0;0;0; 0;0;1;0;0;0;0;0;0;0; 0;0;0;1;0;1;0;1;1;0; 1;0;0;1;0;1;0;0;1;0; 0;1;0;1;1;0;1;0;0;0; 0;0;0;0;0;1;0;0;0;0; 0;0;0;0;0;0;1;0;0;0; 0;0;0;0;0;0;0;0;1;0; 1;0;0;0;0;0;0;1;0;0; 0;0;1;0;0;1;0;1;0;0; 0;1;1;0;0;0;0;0;0;1; 1;0;1;0;0;1;0;0;0;1; 0;0;0;1;1;0;1;0;0;1; 1;0;1;0;1;0;1;0;0;0; 1;0;1;0;0;0;0;0;0;0; }; }; int_Data @["r3"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_77"; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1092715263366699; val_type_fixed=0; }; }; name="r3"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [6000] 0;0;0;1;0;0;0;1;1;0; 1;0;1;0;0;1;0;1;0;1; 1;0;1;0;0;0;0;1;1;0; 1;0;0;1;0;1;1;0;1;0; 0;1;0;0;0;1;0;1;0;1; 1;0;1;0;1;0;0;0;0;0; 0;0;0;1;0;0;1;1;1;0; 0;0;1;0;1;0;0;1;1;0; 0;0;1;0;0;0;0;1;0;0; 1;0;0;0;1;0;0;1;1;0; 1;1;0;0;1;0;0;1;1;1; 0;1;0;1;0;1;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;1;0;0;1;0;0;0;0; 1;0;0;1;0;0;1;0;1;0; 0;0;0;0;1;0;0;0;0;0; 1;0;1;0;0;1;0;1;1;0; 1;0;0;1;1;0;1;0;1;0; 1;0;1;0;0;1;0;1;0;0; 1;1;0;0;0;0;0;0;1;0; 1;0;0;0;0;1;1;0;0;1; 0;0;1;1;0;0;1;0;1;0; 0;1;0;0;0;1;0;1;1;0; 1;0;0;0;0;1;1;0;0;0; 0;1;0;0;1;0;0;1;0;1; 0;1;0;0;0;0;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;1;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;0;1;0;1;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;1;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;1;0;0; 0;0;1;0;0;0;0;0;0;0; 1;0;0;0;0;1;0;1;1;0; 0;1;1;0;0;1;1;0;1;0; 0;1;1;0;1;0;1;0;1;0; 1;0;0;1;0;1;0;1;1;1; 0;1;1;1;1;0;1;0;1;0; 1;0;0;0;0;0;0;1;0;0; 1;0;0;0;0;0;0;0;1;0; 0;0;1;0;0;0;0;1;0;0; 1;0;0;1;0;1;1;0;0;0; 0;0;0;0;0;0;0;1;0;1; 0;0;0;1;1;0;0;0;0;0; 1;0;0;1;1;0;1;0;1;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;0;0;0;0;0;1;0; 0;0;0;0;0;0;0;0;1;0; 0;0;0;1;0;0;0;0;0;0; 1;0;0;0;0;0;1;0;0;0; 0;0;1;0;0;1;1;0;0;1; 0;0;0;1;0;0;0;1;0;1; 0;0;0;0;0;0;0;0;0;1; 0;0;0;0;1;0;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;1;0;1;0;0;0;0;1; 1;0;0;1;1;0;0;0;0;0; 0;1;0;1;0;1;1;0;0;0; 0;0;0;0;0;0;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;1;0;0;0;0;0;0;0; 1;0;1;0;0;0;0;0;0;0; 0;0;0;1;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;1;0;0;1;0;0; 0;0;0;0;0;1;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;1;0;0;0;1; 0;0;0;1;1;0;0;0;0;0; 1;0;0;0;0;1;0;1;0;1; 0;0;0;0;1;0;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;1;0;0;0;0; 0;0;0;0;0;1;0;1;0;1; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;1;0; 0;0;0;0;0;0;0;0;0;0; 0;0;1;0;0;0;0;0;0;1; 1;0;1;0;0;0;0;1;0;0; 1;0;0;0;0;0;1;0;0;0; 0;1;0;1;0;0;0;0;0;1; 0;1;0;1;1;0;0;1;0;0; 0;1;0;0;0;0;0;0;0;1; 1;0;0;0;1;0;1;0;1;0; 0;0;0;0;1;0;0;0;0;0; 0;0;0;0;1;0;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;1;0;0; 0;1;0;0;0;1;0;0;0;0; 1;0;0;0;0;0;0;0;1;0; 0;0;0;0;0;0;1;0;0;1; 0;1;1;0;1;0;0;1;0;0; 0;0;1;0;0;1;1;0;0;0; 0;0;1;0;1;0;0;1;0;0; 0;0;0;1;0;1;0;0;0;0; 0;0;0;0;0;0;1;0;0;0; 0;1;1;0;0;0;0;0;0;0; 0;0;0;0;1;0;0;1;0;1; 1;0;0;1;0;1;0;0;0;0; 0;0;1;0;0;0;0;0;0;0; 0;0;0;1;0;1;0;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;1;0;1;1;1;0;1;0; 1;0;1;1;0;0;1;0;0;1; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;1;0;0;0;0;1; 0;0;0;0;0;0;0;0;0;0; 0;1;1;0;0;0;1;0;0;0; 0;0;0;0;0;1;0;0;0;0; 0;0;0;0;0;0;1;0;0;0; 1;0;1;0;0;0;0;0;0;0; 0;0;1;0;0;0;0;0;0;1; 0;1;0;0;0;1;1;0;0;0; 0;1;1;0;0;0;0;0;0;0; 1;0;0;0;1;0;0;0;0;0; 0;0;0;0;0;1;0;0;0;0; 0;0;0;0;0;1;0;0;0;0; 0;0;0;0;1;0;1;0;1;0; 0;1;0;0;0;1;1;0;0;1; 0;0;0;0;0;0;0;0;0;1; 0;0;0;1;0;1;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;0;0;0;0;1;0;1;0;0; 1;0;1;0;0;0;1;0;0;0; 0;1;1;0;0;0;0;1;0;1; 0;0;1;0;1;0;0;0;1;0; 0;0;0;0;0;0;1;0;0;0; 0;0;1;0;0;0;0;0;0;0; 1;0;0;0;0;0;1;0;0;0; 0;0;0;1;1;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 0;0;0;0;1;0;0;0;1;0; 0;1;0;0;0;0;0;1;0;0; 0;0;0;0;0;1;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 0;0;0;1;0;0;1;0;0;1; 0;0;0;0;0;0;0;0;0;1; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;1;0; 0;0;0;0;0;0;0;0;0;0; 1;0;0;0;0;0;0;0;0;0; 0;0;0;1;0;0;1;0;0;1; 0;0;0;0;0;1;0;0;1;0; 1;0;0;0;0;0;0;1;1;1; 1;0;0;0;0;0;0;1;1;0; 1;0;1;0;0;0;0;0;1;0; 0;0;0;0;0;0;1;0;0;0; 0;1;0;0;0;0;0;0;0;1; 0;0;1;0;0;0;0;0;0;0; 0;0;0;0;1;0;0;0;0;0; 1;0;1;0;0;0;0;1;1;0; 0;0;0;1;0;0;0;1;0;0; 0;0;0;1;0;1;0;0;0;0; 0;0;1;0;0;0;0;0;0;0; 1;0;0;0;0;0;0;0;0;1; 1;0;0;0;0;1;0;1;1;0; 0;1;1;0;0;0;1;0;0;1; 0;0;0;1;0;0;1;0;1;0; 0;0;0;0;1;0;0;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;1;0;0;0;0;0;0;0;1; 0;0;0;0;0;0;0;0;1;0; 0;0;0;0;0;0;0;0;0;1; 0;0;0;0;0;1;0;0;0;0; 0;0;0;0;1;0;1;0;0;0; 0;1;0;0;1;0;0;0;0;0; 0;0;0;0;0;0;1;0;0;1; 0;0;1;0;0;0;0;0;0;0; 1;0;0;1;1;0;1;0;0;1; 1;0;0;1;1;0;0;1;1;0; 1;0;1;0;1;0;0;1;0;1; 1;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 0;0;1;0;0;0;0;0;0;1; 0;0;0;1;0;1;0;0;1;0; 0;0;0;0;0;0;0;0;0;1; 0;0;0;1;0;0;0;1;1;0; 0;1;0;0;1;0;1;0;0;0; 0;0;0;0;0;0;1;0;0;1; 0;0;0;1;0;0;0;0;0;0; 0;0;1;0;1;0;1;0;1;0; 0;0;0;0;0;0;0;1;0;0; 0;0;0;0;0;0;1;0;1;0; 0;0;1;0;0;0;0;0;1;0; 0;1;0;0;0;0;0;0;1;0; 1;0;0;0;0;0;0;0;1;0; 0;0;0;1;0;0;0;0;0;0; 0;0;0;0;1;0;1;0;0;1; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;1;1;0;0;1; 0;0;0;0;0;0;0;1;0;0; 0;0;1;0;0;1;0;1;0;0; 1;1;1;0;1;0;0;0;0;1; 0;0;0;0;0;0;0;1;0;1; 0;1;0;0;1;0;1;0;0;0; 0;0;1;0;0;1;0;1;1;0; 0;0;0;0;0;0;0;0;0;1; 0;0;0;0;0;1;0;0;0;0; 0;0;0;1;0;0;0;0;0;0; 1;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;1;0;0;0; 1;0;0;0;1;0;0;0;0;1; 1;0;0;1;0;1;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 0;0;1;0;0;0;0;0;1;0; 0;1;0;0;0;0;0;0;0;0; 0;1;0;0;0;1;0;0;0;1; 0;0;0;0;1;0;0;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;1;0;0; 0;0;1;0;0;1;1;0;0;0; 0;0;1;0;1;0;1;0;0;0; 1;0;0;0;1;0;1;0;1;0; 1;0;0;0;0;0;0;0;1;0; 0;0;0;0;0;1;0;0;0;0; 0;1;0;0;0;1;0;0;0;0; 0;0;0;0;0;0;0;0;1;0; 0;0;0;0;1;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;1;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;1;0;0;0;1;0; 0;1;0;0;0;0;0;0;0;1; 0;1;1;0;0;1;1;0;0;1; 1;0;0;1;0;1;1;0;0;0; 1;1;0;1;1;1;1;1;1;1; 1;1;1;1;1;1;1;1;1;0; 0;0;1;0;0;1;0;0;1;0; 0;0;1;0;0;0;1;0;0;0; 1;0;0;0;1;0;0;0;0;0; 0;1;0;1;0;0;0;0;0;0; 0;0;0;0;0;0;0;1;0;0; 0;0;0;0;0;0;0;1;0;0; 0;0;0;0;1;0;0;0;0;1; 0;1;0;0;0;0;0;1;0;1; 1;0;0;0;0;0;0;1;0;0; 0;0;0;1;0;0;0;0;1;0; 0;0;0;0;1;0;0;0;0;0; 0;0;0;1;0;0;1;0;0;0; 0;0;1;0;0;0;1;0;1;1; 1;0;0;1;1;1;1;0;0;0; 1;1;1;1;0;0;1;0;0;0; 0;1;1;1;1;1;1;0;0;0; 1;0;1;1;1;1;1;0;0;1; 0;0;1;0;0;1;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;0;1;0;0;0;0;1; 1;0;0;1;0;1;1;0;0;1; 1;0;1;0;1;0;1;0;0;1; 0;1;1;0;0;1;0;0;1;0; 1;0;0;1;0;0;0;1;0;1; 0;0;0;0;0;1;0;1;0;1; 1;1;0;1;0;0;1;0;0;0; 0;0;0;1;1;0;0;0;1;0; 0;1;1;0;0;1;0;0;1;0; 0;1;0;0;0;0;0;0;1;0; 0;0;0;1;1;0;1;0;0;1; 0;0;0;0;1;0;0;1;1;0; 0;1;0;0;0;1;0;0;0;0; 1;0;0;0;1;0;0;1;1;0; 0;0;0;0;0;1;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 1;0;0;0;0;0;0;0;1;0; 0;0;0;0;0;0;0;1;0;1; 0;0;0;1;1;0;1;0;0;1; 0;1;0;1;0;0;0;0;0;0; 0;1;0;1;0;1;0;1;1;0; 1;0;1;0;0;1;1;0;1;0; 0;0;1;0;0;0;1;0;0;1; 0;1;0;0;0;1;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;1;0;1;1;0; 0;0;0;1;1;0;0;0;0;0; 0;0;0;0;1;0;0;0;1;0; 1;0;1;0;0;0;0;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;1;0;0;0;0;0;1;1;0; 0;0;0;1;0;1;0;0;0;1; 0;0;0;0;1;0;0;1;0;1; 0;1;1;0;1;0;1;0;0;0; 0;1;0;0;0;0;1;0;1;0; 1;0;0;1;1;1;0;0;0;0; 0;1;0;1;1;1;1;0;0;0; 1;1;0;1;1;0;0;0;0;0; 0;0;0;0;0;1;0;0;0;1; 1;0;1;1;1;0;1;0;1;1; 0;0;1;0;0;0;0;0;1;0; 0;0;0;0;0;1;0;0;0;0; 0;0;0;1;1;0;0;0;0;0; 0;1;1;0;0;1;0;1;1;0; 0;1;0;1;1;0;0;0;0;1; 1;0;0;1;0;0;0;1;0;0; 1;0;0;0;0;0;0;0;1;0; 0;0;1;0;1;0;1;1;0;0; 0;0;1;0;0;0;0;0;0;0; 0;0;0;1;0;1;0;0;0;0; 1;0;0;1;0;0;1;0;0;0; 1;1;1;1;1;0;0;0;0;0; 1;0;0;1;0;1;1;0;0;1; 0;0;0;0;1;0;1;0;0;1; 1;0;1;0;0;0;0;1;0;1; 1;0;1;0;0;0;0;0;0;0; 0;0;1;0;0;1;0;1;0;0; 1;0;0;0;0;0;0;0;0;1; 0;0;1;0;0;1;0;1;0;1; 0;0;0;0;0;0;1;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;1;1;1;0;0;0;0;0;0; 1;0;0;1;0;0;0;0;0;0; 0;1;1;0;0;1;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;1;1;0;1;0;1;0;0;1; 1;0;0;1;0;1;0;1;1;0; 0;0;0;1;1;0;1;0;0;0; 0;1;0;0;0;1;0;1;0;0; 0;0;0;0;1;0;1;0;0;1; 0;1;0;1;1;1;0;1;0;1; 1;1;1;1;1;0;0;0;1;0; 1;1;0;0;0;0;0;1;1;0; 1;1;0;0;1;0;1;0;0;0; 0;0;1;1;1;0;0;1;0;0; 0;1;1;1;0;0;1;0;0;1; 0;1;0;0;0;0;1;0;0;1; 1;0;0;0;1;0;0;0;0;1; 0;1;1;0;0;0;0;1;0;1; 1;0;1;0;0;0;0;0;0;1; 0;0;0;1;0;0;0;0;0;0; 1;0;0;0;0;0;0;0;0;1; 0;0;0;0;1;0;0;0;0;0; 0;0;0;0;1;0;0;0;0;0; 0;0;0;0;1;0;0;1;0;1; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;1;0;0;0; 1;0;0;0;0;0;0;1;0;0; 0;0;0;1;0;1;0;1;0;1; 0;1;0;1;1;0;0;0;0;0; 0;1;0;0;1;0;0;1;1;0; 1;0;1;0;0;0;0;1;0;1; 0;0;0;0;0;0;0;0;0;1; 0;1;0;1;1;0;0;1;1;0; 0;0;1;1;1;1;1;0;0;1; 0;0;1;1;1;1;1;1;1;0; 0;1;1;1;0;1;0;0;0;0; 0;1;1;0;1;0;0;1;1;1; 1;1;1;0;0;1;1;1;1;0; 0;1;0;1;1;0;0;0;0;0; 0;1;0;1;0;0;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;1;0;0;0;0;0;0;0; 1;0;0;1;1;0;0;1;0;0; 1;0;0;1;1;0;1;0;1;0; 0;1;0;1;0;1;0;1;1;0; 0;0;1;0;1;0;1;0;1;0; 0;1;0;0;0;1;1;0;1;1; 0;0;1;1;0;1;0;1;1;0; 1;0;0;0;0;1;1;0;1;0; 0;0;0;0;1;0;0;0;0;1; 0;1;0;1;1;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;1;0;1; 0;0;0;0;0;0;0;0;0;0; 0;0;1;0;1;0;1;0;1;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;1;1;1;1;0;1;0; 1;0;0;0;0;0;0;0;0;0; 0;0;0;1;0;1;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;1;0;0;0;0;0;1; 1;0;0;0;0;0;0;0;1;0; 0;1;1;0;0;1;0;0;0;0; 0;0;0;0;1;0;0;1;1;0; 0;1;1;0;0;0;0;0;0;0; 0;0;0;1;0;1;1;0;0;0; 1;1;0;0;1;0;0;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;0;0;0;0;1;0;0;0;0; 0;0;0;0;1;0;0;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;1;0;1;0; 1;0;0;0;0;1;0;1;0;0; 0;0;0;0;0;1;1;0;1;0; 0;0;0;0;0;0;1;0;0;0; 0;0;0;1;0;0;0;0;1;0; 0;0;0;0;1;0;0;1;1;0; 0;0;1;0;0;1;0;1;0;0; 0;0;1;0;1;0;0;0;0;0; 0;0;0;1;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 0;1;0;0;0;0;0;0;0;0; 1;0;0;0;0;0;1;0;1;0; 0;0;1;0;0;0;0;0;1;0; 1;0;0;1;1;0;1;0;1;0; 0;0;0;0;0;0;0;0;0;1; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;1;0;1;0; 0;0;0;1;0;1;0;0;0;0; 0;0;0;1;1;0;0;0;0;1; 1;0;0;1;0;0;0;0;1;0; 0;0;0;0;0;0;0;0;0;0; 0;1;1;0;0;1;0;0;1;0; 0;0;1;0;0;0;1;0;0;0; 0;0;0;0;0;1;0;1;1;0; 1;0;0;0;1;0;0;0;0;1; 0;1;0;1;1;0;0;1;1;0; 1;0;0;1;1;0;0;1;0;1; 1;0;0;1;0;0;0;0;1;0; 0;0;0;1;1;0;0;0;0;0; 0;0;1;0;0;0;0;0;0;0; 0;0;1;1;0;0;0;0;0;0; 0;0;1;0;0;1;0;0;1;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;1;1;0;1;0;0;0; 0;0;1;0;0;0;0;0;1;0; 0;0;1;0;0;1;0;1;0;0; 0;1;1;0;0;1;0;0;0;0; 0;1;0;0;1;0;0;1;0;1; 1;0;1;0;0;1;1;0;0;1; 1;0;0;1;0;1;1;0;0;1; 0;0;0;0;0;0;0;0;0;0; 0;0;1;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;0;0;0;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;0;0;0;0;0;0;0;0;0; 0;1;1;0;0;1;0;0;0;1; 1;0;1;0;1;0;1;0;0;1; 1;0;0;1;0;0;0;0;0;1; 1;0;0;1;1;0;0;1;0;1; 1;0;0;1;0;1;0;1;0;0; 0;0;1;1;0;1;0;1;0;1; 1;1;1;0;0;0;0;1;1;1; 0;1;0;1;0;0;0;0;0;0; 0;0;0;1;0;1;0;0;0;0; 0;0;0;0;1;0;0;1;0;0; 1;0;0;1;0;0;0;1;1;1; 0;0;1;0;1;0;0;0;0;1; 0;0;0;0;1;0;0;0;1;0; 1;0;1;0;0;0;1;0;0;0; 0;0;0;1;0;0;1;0;0;0; 0;0;0;0;0;0;1;0;0;1; 1;0;1;0;0;0;1;0;1;0; 1;1;0;0;0;0;0;0;0;0; 1;0;0;0;1;1;0;0;1;0; 0;0;1;0;0;1;1;1;0;1; 1;0;0;0;0;1;0;0;0;0; 0;1;1;0;0;0;0;1;0;0; 1;1;1;1;1;1;1;0;0;0; 1;0;1;0;0;0;0;0;0;0; 0;1;0;1;0;0;0;0;1;0; 1;0;0;1;0;0;0;0;0;0; 1;0;0;0;0;1;0;0;1;0; 1;0;0;0;0;0;0;0;0;1; 0;1;0;0;1;0;0;0;0;0; 0;0;0;0;1;0;0;0;0;1; 1;0;0;1;0;1;1;1;1;1; 1;1;0;1;0;0;0;0;0;1; 1;1;0;0;1;1;1;1;1;0; 0;1;0;1;0;1;0;1;0;0; 0;0;1;0;1;0;0;0;0;0; 0;1;0;1;1;0;0;0;1;0; 0;0;0;0;1;0;0;0;0;0; 0;1;0;0;0;0;0;0;1;0; 0;0;0;0;0;1;1;0;1;0; 0;1;1;0;0;1;0;1;1;0; 1;0;0;1;1;0;1;0;0;1; 0;1;0;0;1;0;1;1;1;0; 1;1;0;1;1;1;0;1;0;0; 0;0;1;1;1;1;0;0;1;1; 1;1;1;0;1;1;0;1;1;1; 1;1;1;0;1;1;1;1;0;0; 1;1;1;1;1;1;1;0;1;1; 0;1;0;0;0;0;0;0;1;0; 0;1;0;0;0;0;0;0;0;0; 0;0;0;0;0;1;1;0;0;0; 0;0;0;0;0;0;0;0;0;1; 1;0;1;0;0;0;0;0;0;1; 0;0;0;0;0;0;0;0;1;0; 0;0;0;0;1;0;0;0;0;0; 0;0;0;1;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;1;0; 0;0;0;0;0;1;0;1;1;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;1;0;0;0;0;1; 0;0;0;1;0;1;0;1;0;1; 0;0;0;0;0;1;1;0;0;0; 0;0;0;0;0;0;0;0;0;1; 0;1;0;0;0;1;0;1;0;0; 0;0;0;0;0;0;1;0;1;0; 1;1;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;1;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;1;0; 0;0;0;0;1;0;0;0;0;0; 0;0;0;0;0;1;0;0;0;0; 0;0;0;0;0;1;0;0;1;0; 1;0;0;1;1;0;1;0;0;1; 0;1;1;0;0;1;1;0;1;0; 1;0;0;1;1;0;1;0;0;1; 1;0;0;1;1;1;0;1;1;0; 1;1;1;1;0;1;1;0;1;0; 1;0;0;0;0;1;0;0;0;1; 0;0;0;1;0;0;0;0;0;0; 0;0;0;0;0;1;1;0;0;1; 0;0;0;0;0;0;0;0;0;0; 0;0;1;1;1;0;1;0;0;0; 0;0;0;1;0;0;0;0;0;0; 0;0;0;0;1;0;0;1;0;1; 1;0;0;1;0;0;0;1;0;0; 0;0;1;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;1;0; 0;0;0;0;0;0;1;0;0;1; 0;0;0;0;1;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 0;0;0;0;0;1;1;0;0;0; 0;0;1;0;0;0;0;0;0;1; 0;1;0;1;1;0;0;0;1;0; 0;0;0;0;0;0;1;0;0;0; 0;0;0;0;0;0;0;0;1;0; 0;1;1;0;0;0;0;1;0;1; 0;0;1;0;0;0;1;0;0;1; 0;0;0;0;1;0;0;0;0;0; 0;0;0;0;0;0;1;0;1;0; 0;0;0;0;1;0;1;0;0;0; 0;0;0;0;1;0;0;0;0;1; 0;0;0;0;0;0;0;0;0;1; 0;0;0;0;0;0;0;0;0;1; 0;0;0;0;0;1;0;0;0;0; 0;0;0;0;0;0;0;0;1;0; 0;0;1;1;0;0;0;1;0;0; 0;1;0;0;0;0;0;0;1;0; 0;1;0;1;0;1;0;1;0;0; 0;0;0;1;0;0;1;0;0;1; 0;1;0;0;0;0;0;0;0;1; 0;1;1;0;0;1;0;0;0;0; 0;0;1;0;0;0;0;0;1;1; 0;1;0;1;0;1;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;1;0;1;0;0;0; 0;0;0;0;0;1;0;1;0;0; 0;0;0;1;0;0;0;0;0;0; 0;0;0;0;0;1;0;0;1;0; 0;1;0;0;0;0;0;0;0;0; 0;0;0;1;0;1;1;0;1;0; 0;0;0;0;0;0;0;1;0;0; 0;0;0;0;0;0;0;1;0;0; 1;0;0;0;0;0;0;0;0;0; 1;0;1;0;0;1;0;1;1;0; 1;0;1;0;0;0;0;0;0;0; 0;0;0;0;0;0;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;1;0;0;0;0;0;0;0; 1;0;1;0;0;0;0;0;0;1; 1;0;0;1;1;0;1;0;0;0; 0;0;1;0;0;1;0;1;1;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;1;1;0;0;1; 0;1;0;0;0;0;0;0;0;1; 0;0;0;0;1;1;1;1;0;0; 0;1;0;0;0;0;0;1;0;0; 1;1;0;0;0;0;0;0;1;0; 0;1;0;0;0;0;0;1;1;0; 0;0;0;0;1;0;1;0;0;1; }; }; int_Data @["r4"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_78"; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1092715263366699; val_type_fixed=0; }; }; name="r4"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [6000] 0;1;0;0;1;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;1;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;1;0;0;0;0;0;0;0; 0;0;0;0;0;0;1;0;1;0; 0;0;0;0;0;1;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;1;0;0;0;1; 0;0;1;1;0;0;0;0;0;0; 0;0;0;1;0;0;0;0;0;0; 1;0;1;0;1;0;0;0;1;0; 0;1;1;0;0;1;0;1;1;0; 0;0;0;0;0;0;1;0;0;1; 0;0;0;0;1;0;0;0;0;0; 1;0;0;1;0;0;0;1;1;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;1;1; 0;0;0;0;0;1;1;0;0;1; 0;0;0;0;0;0;0;0;1;0; 1;0;0;0;1;0;0;0;0;0; 1;0;1;0;1;0;1;0;0;1; 0;1;0;0;1;0;0;1;0;1; 0;0;1;0;0;0;0;0;0;0; 0;0;1;0;0;1;0;0;0;1; 0;1;0;1;0;1;0;1;1;0; 0;1;0;0;0;1;1;0;0;1; 1;0;0;1;0;1;1;0;0;1; 0;0;0;0;0;0;1;0;0;1; 0;0;1;0;1;0;0;1;1;0; 0;0;1;0;0;0;0;1;0;0; 1;1;1;1;0;0;1;0;1;0; 0;1;1;0;0;0;1;0;0;1; 1;0;1;0;1;0;1;0;0;1; 0;0;0;0;0;1;0;0;0;0; 0;1;0;0;0;1;1;0;1;0; 0;0;1;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;1;0;0;0;0; 0;0;1;0;0;0;0;0;0;0; 0;0;0;0;0;1;0;0;1;0; 0;0;0;0;0;0;0;0;0;1; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 1;0;0;1;0;1;1;0;1;0; 0;0;0;1;0;1;1;0;0;0; 1;0;1;0;1;0;0;1;0;0; 1;0;0;0;1;0;0;1;0;1; 0;0;0;1;1;0;0;0;0;1; 0;1;0;0;0;0;0;0;1;0; 0;0;0;0;0;0;0;0;0;0; 0;0;1;0;0;1;0;1;0;0; 0;1;0;1;0;0;0;0;0;0; 0;0;1;0;0;0;0;1;0;1; 0;0;0;0;0;0;0;1;0;0; 0;0;0;0;0;0;0;1;1;0; 0;0;0;0;0;0;0;0;0;1; 0;1;0;1;0;1;0;0;0;1; 0;1;1;0;0;1;0;1;0;1; 0;0;0;0;0;1;1;0;1;0; 0;0;0;0;0;1;0;1;0;1; 0;1;0;0;0;0;1;0;1;1; 0;0;0;1;0;1;0;1;1;0; 0;1;0;1;0;0;0;0;0;1; 1;0;1;0;1;0;1;1;0;0; 0;1;0;0;0;0;0;1;0;1; 0;0;0;0;0;0;0;0;0;0; 1;0;0;0;0;0;0;1;1;0; 0;0;0;1;0;0;0;0;0;0; 0;1;1;0;0;0;0;0;0;1; 0;1;1;0;1;0;0;1;0;1; 1;0;0;1;0;1;1;0;0;1; 0;1;1;0;0;0;0;1;1;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;1;0;0; 0;0;0;0;0;0;0;1;0;0; 0;0;0;1;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;1;0;0;0;0;0; 1;0;0;0;0;1;1;0;0;0; 0;0;0;0;0;1;0;0;1;0; 0;0;1;0;1;0;0;0;1;0; 0;0;0;0;0;1;1;0;0;0; 0;0;0;0;0;0;0;0;1;0; 0;0;0;1;0;1;0;1;0;0; 0;0;0;1;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 0;0;0;0;0;0;0;0;0;0; 1;0;0;0;1;0;0;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;0;0;0;1;0;0;0;1;0; 0;0;0;1;0;0;0;1;0;1; 0;0;1;0;1;0;0;1;0;0; 0;1;1;0;0;1;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 0;1;0;0;0;0;0;0;1;0; 1;0;0;0;0;0;0;0;1;0; 0;0;0;0;0;0;0;1;0;0; 0;0;1;1;0;0;0;1;0;0; 0;0;0;0;0;0;0;0;0;1; 0;0;1;0;0;1;0;0;0;0; 0;0;0;0;0;0;0;0;1;0; 1;0;0;0;0;0;0;0;0;0; 0;1;0;0;0;0;0;1;1;0; 0;0;0;1;1;0;1;0;1;0; 0;1;1;0;0;1;0;0;1;0; 0;1;1;0;0;1;0;1;1;0; 0;1;0;0;0;0;0;1;0;0; 0;0;0;0;1;0;0;0;0;0; 0;0;0;0;1;0;1;0;1;0; 0;1;0;1;0;0;1;0;0;0; 1;1;0;0;1;0;1;0;0;0; 0;0;0;0;1;0;0;0;1;0; 0;0;0;1;0;0;0;0;0;0; 0;1;0;1;0;1;0;0;1;0; 0;0;0;0;0;1;0;1;1;0; 1;0;0;0;0;1;1;0;0;0; 0;0;0;1;0;0;0;0;0;1; 0;0;0;0;0;1;0;1;0;1; 0;0;0;1;0;0;0;1;1;0; 1;0;1;0;0;0;1;0;1;0; 1;1;0;0;0;0;0;1;0;1; 0;0;1;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;0;0;1;0;1;0;0;0;0; 0;0;0;0;0;0;0;1;1;0; 1;0;1;0;1;0;1;0;0;0; 0;0;1;0;0;0;0;0;0;1; 0;0;0;0;1;0;0;0;0;1; 0;0;0;0;1;0;0;0;0;0; 0;1;0;0;0;0;0;1;0;0; 0;1;0;1;1;0;0;0;0;1; 0;1;0;0;0;1;0;1;1;0; 0;0;0;0;1;0;0;0;0;0; 0;0;0;0;0;0;1;0;0;1; 1;0;0;1;0;0;1;0;0;0; 0;0;1;0;0;0;0;0;0;0; 0;0;0;0;1;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;0;1;0;0;1;0;1;0;0; 0;1;0;0;1;0;0;0;0;0; 1;0;0;0;0;0;1;0;0;0; 0;1;0;1;1;0;1;0;0;1; 1;0;0;1;0;1;0;1;1;0; 0;1;1;0;1;0;0;0;0;0; 0;1;1;1;1;1;0;1;1;0; 1;0;0;0;1;0;1;1;1;0; 1;0;1;1;0;1;1;1;1;0; 1;1;1;0;1;1;1;1;0;0; 1;1;1;0;1;1;1;1;0;1; 0;1;1;1;0;1;1;0;1;1; 1;0;0;0;1;0;0;0;0;0; 1;0;0;1;0;0;0;1;0;1; 0;0;0;1;0;1;0;0;0;0; 0;0;1;0;0;1;0;0;0;1; 0;1;0;1;1;0;0;1;0;0; 0;1;1;0;0;1;0;0;1;0; 0;0;0;1;0;0;0;0;1;0; 0;0;0;0;1;0;0;0;1;0; 0;0;0;1;0;0;0;0;0;1; 0;1;0;0;0;0;0;0;0;0; 0;0;1;0;0;0;1;0;0;0; 0;1;0;0;0;0;0;0;1;0; 0;1;0;0;0;1;0;1;0;1; 0;0;0;1;0;1;1;0;0;0; 0;0;1;0;0;0;0;0;0;0; 0;0;0;0;0;1;0;0;0;0; 1;0;0;0;1;0;0;0;0;0; 1;0;0;1;0;0;1;0;1;0; 0;0;1;0;0;1;0;0;1;1; 0;0;0;1;0;0;0;1;0;0; 0;0;1;0;0;0;0;1;0;0; 0;0;1;0;1;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 0;0;0;1;0;0;0;1;1;0; 1;0;0;1;1;0;0;0;0;0; 0;1;0;0;0;1;1;0;0;1; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 0;0;0;0;0;1;1;0;0;0; 0;0;0;0;0;1;0;0;0;0; 0;0;0;0;0;0;1;1;0;0; 1;1;0;1;0;0;0;0;1;0; 1;1;1;0;0;0;0;0;0;0; 0;0;0;1;0;0;0;0;1;0; 0;1;0;1;0;1;0;0;0;0; 0;1;0;0;1;0;0;1;1;0; 1;0;0;0;0;0;0;0;0;0; 0;1;1;0;0;1;0;0;0;1; 0;1;1;0;1;0;0;0;0;1; 1;0;0;0;0;0;0;0;0;0; 0;0;0;1;0;0;0;0;0;0; 0;0;0;0;1;0;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;1;0;0;0;0;0;0;0; 0;1;0;0;0;0;0;1;0;0; 1;0;0;1;0;0;0;0;0;0; 1;0;0;1;1;0;0;0;0;1; 1;0;0;0;0;0;0;0;0;1; 0;0;0;0;0;0;1;0;0;0; 1;0;1;0;1;0;0;0;0;0; 0;0;0;1;0;0;0;0;0;1; 0;0;0;0;0;0;0;0;0;0; 0;0;0;1;0;1;0;0;0;0; 0;0;1;0;0;0;0;0;0;0; 0;0;0;0;0;1;0;0;1;0; 0;0;0;0;0;1;0;0;0;0; 0;0;0;0;0;1;0;0;0;0; 0;1;0;1;0;1;0;0;0;1; 0;0;0;1;0;0;0;1;0;0; 0;0;0;0;0;0;0;0;0;1; 0;1;1;0;1;0;1;0;0;1; 0;1;1;0;0;1;0;1;1;0; 0;1;0;1;1;0;1;0;0;0; 0;0;0;0;1;0;0;1;0;0; 0;0;0;1;0;1;0;1;1;0; 1;0;1;0;0;0;0;0;1;0; 1;0;1;0;0;0;0;1;0;1; 0;0;0;0;1;0;0;1;1;1; 1;1;0;0;0;0;0;0;0;0; 1;0;0;0;0;0;0;0;1;0; 1;0;0;0;0;0;0;0;1;0; 0;0;1;0;0;0;0;0;0;0; 0;0;0;1;1;0;0;1;0;0; 1;0;0;1;0;0;1;0;0;1; 0;0;1;0;0;0;0;1;1;0; 0;1;0;1;0;0;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;0;0;0;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;1;0;0;1;0;0;0;0;0; 0;1;0;1;0;0;0;1;0;0; 0;0;1;0;1;0;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 0;0;1;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;1;0;0;0; 0;1;0;0;1;0;0;0;1;0; 0;0;1;0;0;0;1;1;1;0; 0;0;0;0;0;0;0;1;1;1; 0;0;1;0;1;0;0;0;1;0; 1;0;1;0;1;0;1;0;1;0; 1;0;1;0;0;0;1;0;0;0; 0;0;0;1;0;1;0;0;0;0; 0;0;0;1;1;0;0;0;1;0; 0;1;0;0;0;0;0;1;0;0; 0;1;0;0;0;0;0;1;0;1; 0;1;0;0;0;0;0;0;0;0; 1;0;0;1;0;0;0;1;0;0; 0;1;0;0;0;0;0;1;1;1; 0;0;0;0;0;0;0;1;0;1; 0;0;0;0;0;0;0;0;1;1; 0;0;0;0;0;0;0;0;0;0; 1;1;0;1;0;0;0;0;0;1; 1;0;0;1;1;0;0;1;1;0; 1;0;1;0;0;1;1;0;1;0; 0;1;1;0;1;0;1;0;0;1; 0;0;0;1;0;0;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;0;0;1;1;0;1;1;0;1; 0;0;0;0;0;0;0;0;1;0; 0;1;0;1;1;0;1;0;1;0; 0;0;1;0;1;1;0;0;1;0; 0;1;1;0;0;1;0;1;0;1; 1;0;0;0;0;0;1;0;0;0; 0;0;1;0;0;1;0;1;0;0; 1;0;0;0;0;0;0;0;0;0; 1;0;0;1;0;0;0;0;0;0; 0;0;1;0;0;0;0;1;0;1; 0;0;0;1;0;0;0;0;0;0; 0;1;0;1;0;0;0;0;1;0; 0;1;0;0;0;1;1;1;0;1; 0;0;1;0;0;0;0;0;0;0; 1;0;0;1;1;0;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;1;0;0;0;0;0; 0;0;1;1;0;0;0;1;0;0; 1;0;1;0;1;0;0;0;0;0; 1;0;0;0;0;0;0;0;0;0; 0;0;0;0;1;0;1;0;0;1; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;0;1;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;0;1;0;0;0;1;0;0;1; 0;0;1;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;1;0;0;0;0; 0;0;0;0;1;0;1;0;1;0; 0;0;0;1;0;1;0;1;0;1; 0;0;0;1;0;1;0;0;0;0; 0;1;0;0;0;0;1;0;0;0; 0;1;0;1;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 0;0;1;0;0;1;0;0;0;0; 0;1;1;0;0;0;1;1;1;1; 1;0;1;0;0;0;0;1;1;1; 0;0;1;0;0;1;1;1;1;1; 1;1;1;1;1;0;1;0;0;0; 0;1;0;0;0;0;0;1;0;0; 1;1;0;1;1;1;1;1;0;1; 0;1;0;1;0;0;0;1;0;1; 0;1;0;0;0;0;1;0;0;1; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;1;0;0; 0;0;0;0;1;0;0;0;0;1; 0;0;0;1;0;1;0;1;0;0; 0;0;0;1;0;0;0;0;1;0; 1;0;0;0;1;1;0;0;0;1; 1;1;1;0;1;0;1;1;0;0; 0;1;0;0;1;0;0;0;0;1; 0;0;0;0;0;1;0;1;1;1; 0;1;1;0;1;0;0;1;1;0; 1;0;0;1;0;0;0;0;0;0; 0;0;0;0;1;0;0;0;0;0; 0;0;0;0;0;1;1;0;0;1; 0;1;0;0;0;0;0;0;1;0; 0;0;1;0;0;1;0;1;0;0; 0;1;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 0;0;0;0;0;0;1;0;0;0; 0;0;0;0;1;1;0;0;0;0; 0;0;0;0;1;0;0;0;0;0; 0;0;0;0;0;0;0;1;0;0; 0;0;0;0;0;0;0;1;0;0; 0;0;1;0;1;0;0;1;0;1; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;0;0;0;0;0;0;0;1;0; 0;0;1;0;0;0;0;0;0;1; 1;0;1;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;1;0; 0;0;0;0;0;1;0;1;0;0; 0;0;0;1;0;0;1;0;0;0; 0;0;1;0;0;1;0;1;0;1; 0;0;0;0;0;1;0;0;1;1; 1;0;0;0;0;0;0;0;0;0; 0;0;0;1;1;0;0;0;0;0; 0;0;1;0;0;0;1;0;0;0; 0;0;0;0;1;0;0;0;0;0; 0;0;0;0;0;1;0;1;0;0; 1;0;0;0;1;0;1;0;1;0; 0;0;0;1;0;1;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 1;0;0;0;0;0;0;0;0;0; 0;0;0;1;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;1;0;0;0;0;0;0;1; 0;0;0;1;1;0;0;0;1;0; 0;1;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;1;0;0;1; 0;0;0;1;0;0;0;0;0;0; 0;0;0;0;0;1;0;0;0;0; 1;0;1;0;0;1;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;1;0;0;0;0;0;0; 0;0;0;0;0;0;0;1;1;0; 1;0;0;0;1;0;0;1;1;0; 1;0;1;1;1;1;1;1;0;1; 0;0;1;0;0;1;0;1;1;1; 0;1;1;0;0;0;1;1;0;1; 1;0;0;0;1;1;0;1;0;1; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;1;0;0;0;0;0;0; 0;1;0;1;0;0;1;1;0;0; 0;0;0;0;0;1;1;1;1;0; 0;1;1;0;1;0;0;1;1;1; 0;1;1;0;0;0;0;0;0;0; 1;1;1;1;1;1;1;1;1;1; 1;0;0;0;0;1;0;0;0;0; 1;1;1;0;0;0;0;1;1;0; 0;1;0;0;0;0;0;0;0;0; 0;0;1;0;0;1;0;0;0;1; 0;1;0;0;0;0;0;0;1;0; 1;1;0;0;0;1;0;0;0;0; 1;0;0;0;0;1;1;0;0;0; 0;0;1;0;0;1;1;0;0;0; 0;0;0;0;0;0;0;1;1;0; 0;1;0;1;0;0;0;0;0;0; 0;0;0;0;0;1;0;1;0;1; 0;1;0;0;0;0;0;0;1;0; 0;0;1;0;0;1;1;0;1;1; 1;0;0;1;0;1;0;1;1;0; 0;1;0;1;1;0;1;0;1;0; 1;0;1;0;0;1;1;0;1;0; 0;0;1;0;0;0;1;0;1;0; 1;0;0;1;0;1;0;0;0;0; 0;0;1;0;0;0;0;0;0;1; 1;0;0;1;0;0;0;0;0;0; 1;0;0;1;0;1;0;0;1;0; 1;0;0;0;0;1;1;0;0;0; 1;0;1;0;0;0;0;0;0;0; 0;1;0;0;1;0;0;0;1;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;1;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;1;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;1;0;1;1;0;0;1; 0;0;0;1;0;1;0;0;0;0; 0;1;0;0;1;0;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;1;0;1;1;0;0;0; 0;1;0;1;0;1;1;0;0;1; 0;0;1;0;0;1;0;0;0;0; 0;1;0;0;0;0;0;0;0;1; 1;0;0;0;0;0;0;1;0;0; 0;0;0;0;1;0;1;0;0;0; 1;0;1;0;1;0;0;0;1;0; 0;0;0;0;0;0;0;1;0;0; 0;1;0;0;1;0;0;0;0;1; 1;0;1;0;0;0;0;0;0;0; 0;0;0;1;0;0;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;1;0;1;0;0;0; 0;0;0;0;0;0;0;1;0;0; 0;0;0;0;1;0;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;0;0;0;0;0;0;0;0;0; 0;1;1;0;0;0;0;0;0;0; 0;1;0;0;0;0;0;0;0;1; 0;1;0;0;0;1;0;1;0;0; 1;0;0;0;0;0;0;0;1;0; 0;0;0;0;0;0;1;0;0;1; 0;0;0;1;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;1;0;0;0;1;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;1;0;0;0;0;0;0;0; 0;1;0;0;0;1;0;0;0;0; 1;0;0;1;1;0;0;0;1;0; 0;0;1;0;0;1;0;1;0;1; 0;0;0;0;0;0;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;1;0;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;1;0; 0;0;0;0;0;0;1;0;0;0; 0;0;0;1;1;0;0;0;0;0; 0;0;0;0;0;0;0;0;1;0; 0;1;1;0;0;0;1;1;1;0; 1;0;0;0;0;0;0;0;1;0; 0;0;0;0;1;1;0;0;0;0; 1;0;0;0;0;0;1;0;0;0; 0;1;0;1;0;0;0;1;0;0; 0;0;0;0;0;1;0;0;1;0; 0;1;0;0;0;1;0;0;1;0; 0;1;0;1;1;0;0;0;0;0; 0;0;0;0;1;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;1;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;1; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;1;1;0;0;1; 0;0;0;0;1;0;0;1;0;0; 0;0;0;0;0;1;1;0;1;0; 0;0;0;1;0;0;0;1;0;0; 0;0;1;0;1;0;0;1;0;0; 0;0;1;0;0;0;1;0;1;0; 1;0;0;1;0;0;1;0;0;0; 0;1;1;0;1;0;0;0;0;0; 0;0;1;0;1;0;1;0;1;0; 0;0;0;0;0;0;0;0;0;1; 0;0;1;0;1;0;0;0;1;0; 0;0;0;1;0;1;0;1;1;1; 0;0;0;0;0;0;0;1;0;0; 0;1;1;0;0;0;0;1;1;0; 0;0;1;0;0;1;1;0;0;0; 1;0;0;1;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;0;1;1;0;1;0;0;0;1; 0;0;0;0;0;0;1;0;1;0; 0;1;0;0;0;0;1;1;0;0; 0;0;0;1;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;1;1; 0;0;0;0;0;0;0;0;0;0; 0;0;0;1;1;0;1;0;0;0; 0;0;0;1;1;0;0;1;0;1; 0;1;0;1;0;0;0;0;0;1; 0;1;1;0;1;0;1;0;0;0; 0;0;0;0;0;1;1;0;0;0; 0;1;1;0;0;1;0;1;0;0; 0;0;0;0;0;0;0;1;0;0; 0;0;0;0;0;0;0;0;0;1; 0;0;0;0;0;1;1;0;0;0; 0;1;0;1;0;1;0;0;0;0; 0;1;1;0;0;0;0;0;0;0; 0;1;0;0;1;0;0;0;0;0; 0;1;0;1;0;0;1;0;0;0; 1;0;0;0;0;0;0;0;0;0; 1;0;1;0;0;0;0;0;1;0; 1;0;1;0;1;0;1;0;0;0; 0;0;1;0;0;0;0;0;0;1; 0;1;1;0;0;1;0;0;0;0; 0;0;1;0;0;0;0;1;0;0; 1;1;0;1;0;0;0;1;0;1; 1;0;0;1;0;1;0;0;0;0; 1;0;0;1;0;0;0;1;0;0; 0;0;1;0;0;1;0;1;0;0; 0;1;1;0;1;0;1;1;0;0; 0;1;1;0;0;0;0;1;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;1;0;0;0;0;0;0; 0;0;0;0;0;0;0;1;0;0; 1;0;0;1;1;0;0;0;0;0; 0;0;1;0;0;0;1;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;0;0;1;0;0;0;0; 0;1;0;1;0;0;0;0;0;0; 0;0;0;0;1;0;0;0;1;0; 0;1;0;0;1;0;1;0;1;0; 1;0;0;1;1;0;0;1;0;0; 1;0;0;1;0;1;0;0;0;0; 0;1;0;1;0;0;1;0;1;0; 0;0;1;0;0;1;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;0;0;0;0;0;1;0; 0;0;1;0;0;0;1;0;0;0; 1;0;0;0;0;1;0;0;1;0; 0;0;0;1;0;0;0;0;0;1; 0;0;0;0;0;1;0;0;0;0; 0;1;0;0;1;0;0;0;0;0; 0;1;1;0;0;0;1;0;1;0; 0;1;0;1;1;0;0;0;0;0; 0;1;1;0;0;0;0;0;0;1; 0;1;1;0;0;0;1;0;0;0; 0;0;1;0;0;0;0;0;0;0; 1;1;0;0;0;0;1;1;1;0; 0;0;0;1;1;0;1;0;0;1; 0;0;0;1;1;0;0;1;0;1; 1;0;0;0;0;1;1;0;0;1; 1;0;1;0;0;0;1;0;0;0; 0;0;0;0;0;0;0;0;1;0; 0;1;0;0;1;0;0;0;0;0; 0;0;1;0;1;0;0;1;0;0; 0;0;0;0;0;0;0;1;0;1; 1;0;0;0;0;1;0;1;0;0; 1;0;1;0;1;0;1;0;1;0; 0;0;0;0;0;0;0;0;0;0; 1;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;1;0;1;1; 1;0;0;0;0;0;0;0;0;0; 0;0;0;1;0;0;1;0;0;0; 0;0;0;0;0;1;0;1;0;0; 1;0;0;0;0;0;0;0;0;0; 0;1;1;0;0;1;0;0;1;0; 1;0;1;0;1;0;0;0;1;0; 0;0;1;0;1;0;1;0;0;1; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;1;0;0;1;1;0; 0;1;1;0;1;0;0;1;0;0; 0;1;1;0;0;0;0;0;1;0; 1;0;0;1;1;0;0;1;1;0; 0;0;1;0;0;0;0;1;1;1; 1;0;0;0;0;1;0;1;1;0; 0;1;0;0;0;0;0;0;0;0; 0;0;0;0;1;0;0;1;0;1; 0;0;0;0;0;1;0;1;0;0; 0;0;0;0;0;0;0;0;0;1; 1;0;0;0;0;0;0;0;0;0; 1;0;0;1;0;1;0;1;0;1; 0;1;1;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; }; }; }; data_flags=SAVE_ROWS|AUTO_CALC; auto_load=NO_AUTO_LOAD; auto_load_file=; row_height=0; keygen 4 0=0; row_indexes { name="row_indexes"; [3302] 6;7;8;9;10;11;12;13;14;15; 16;17;18;19;20;21;22;23;24;26; 27;28;29;30;31;32;33;34;35;36; 38;41;42;45;47;49;51;52;54;57; 59;61;62;63;64;65;66;67;68;69; 70;71;72;73;74;75;76;77;78;79; 81;82;83;84;85;86;87;88;89;90; 91;92;93;94;95;97;98;99;100;101; 102;103;104;105;106;107;108;109;110;111; 112;113;115;116;117;118;119;131;132;135; 139;140;142;143;146;147;149;151;152;154; 155;156;158;160;162;163;164;165;166;167; 168;169;170;171;173;174;175;176;177;178; 179;180;181;182;183;184;185;186;187;188; 189;190;191;193;194;195;196;198;200;203; 204;205;206;207;208;209;210;211;212;213; 214;215;216;217;218;219;221;222;224;225; 226;227;228;230;231;232;233;235;236;238; 239;252;255;259;261;262;263;264;265;266; 267;268;269;270;273;274;275;276;277;278; 279;280;281;282;283;284;285;286;287;288; 291;292;293;295;296;297;298;300;301;302; 303;304;305;306;307;308;309;310;311;312; 313;314;315;316;317;318;319;320;321;322; 323;324;325;326;327;328;329;330;331;332; 333;334;335;336;337;338;339;340;341;342; 343;344;345;346;347;348;349;350;351;352; 354;355;356;358;359;360;363;364;367;370; 375;376;377;378;379;380;381;382;383;384; 385;386;387;388;389;390;391;392;393;394; 395;396;397;398;399;400;401;402;403;404; 405;407;408;409;411;412;414;416;418;420; 421;422;423;424;426;427;428;430;431;433; 435;436;438;439;440;442;443;444;445;446; 447;449;450;451;452;453;454;455;456;457; 458;459;461;462;465;466;467;468;469;470; 471;472;473;474;475;476;477;478;490;493; 495;496;498;499;500;502;503;504;505;506; 507;509;510;512;514;517;519;520;521;522; 524;525;526;527;528;537;540;541;543;544; 547;548;549;550;552;554;556;558;560;561; 562;563;564;565;566;567;568;570;571;572; 573;575;576;578;581;582;583;584;586;587; 588;589;590;593;595;596;597;598;619;621; 623;625;629;631;632;635;637;638;640;644; 647;648;649;651;653;654;655;656;657;658; 659;662;664;666;667;668;670;672;675;676; 680;681;683;685;686;688;689;690;691;692; 693;694;696;698;700;701;703;704;705;707; 708;709;710;713;714;716;718;726;729;734; 741;748;749;750;751;752;753;754;757;759; 760;762;763;764;765;766;767;768;769;770; 771;772;773;774;776;777;778;779;780;781; 782;783;784;785;786;787;788;789;790;791; 792;793;794;795;796;797;798;800;801;802; 803;804;805;806;807;808;809;810;811;812; 813;814;815;816;817;818;819;820;821;822; 823;824;825;826;827;828;829;830;831;832; 833;834;835;836;837;838;839;849;854;869; 878;880;882;885;886;889;890;892;894;896; 898;901;902;905;907;909;910;912;913;915; 917;918;920;921;922;925;926;929;931;932; 935;936;939;940;941;943;944;946;947;948; 949;951;953;955;956;959;962;966;968;971; 973;975;976;979;980;983;984;986;987;988; 990;995;996;1024;1026;1027;1028;1031;1035;1036; 1037;1039;1040;1041;1045;1046;1048;1049;1050;1051; 1052;1056;1057;1058;1060;1062;1064;1066;1067;1069; 1074;1076;1081;1088;1092;1096;1098;1099;1100;1101; 1102;1103;1104;1106;1107;1108;1110;1111;1112;1113; 1114;1115;1116;1117;1118;1119;1120;1121;1122;1123; 1124;1125;1127;1128;1129;1130;1132;1136;1137;1138; 1139;1140;1141;1142;1143;1144;1145;1146;1147;1148; 1149;1150;1151;1152;1153;1154;1155;1156;1157;1158; 1159;1161;1162;1163;1164;1165;1166;1167;1168;1170; 1171;1172;1173;1174;1175;1176;1177;1178;1179;1180; 1181;1182;1183;1184;1185;1186;1187;1188;1189;1190; 1192;1193;1194;1195;1196;1197;1199;1203;1204;1205; 1206;1209;1211;1213;1214;1220;1222;1227;1228;1230; 1233;1257;1259;1260;1261;1263;1264;1265;1266;1267; 1268;1269;1271;1272;1273;1275;1277;1279;1280;1281; 1283;1284;1287;1288;1290;1291;1292;1293;1294;1295; 1297;1299;1300;1302;1303;1304;1306;1307;1308;1309; 1310;1311;1312;1313;1314;1315;1316;1317;1318;1328; 1331;1333;1335;1338;1340;1343;1345;1346;1348;1350; 1353;1355;1356;1357;1359;1360;1361;1362;1363;1365; 1367;1368;1373;1374;1379;1380;1381;1383;1385;1386; 1387;1388;1390;1391;1392;1393;1394;1395;1397;1398; 1401;1402;1404;1405;1407;1408;1409;1410;1411;1413; 1414;1415;1416;1417;1418;1419;1420;1421;1422;1423; 1425;1426;1427;1428;1429;1431;1433;1434;1435;1436; 1438;1439;1440;1442;1445;1447;1449;1451;1453;1454; 1456;1459;1460;1466;1471;1473;1474;1476;1479;1480; 1483;1485;1486;1487;1488;1489;1490;1491;1492;1493; 1494;1495;1496;1497;1498;1499;1500;1501;1502;1503; 1504;1505;1506;1507;1508;1509;1510;1511;1512;1514; 1515;1516;1517;1518;1519;1520;1521;1522;1524;1526; 1527;1528;1529;1530;1531;1532;1533;1534;1535;1536; 1537;1539;1540;1541;1542;1543;1544;1546;1547;1548; 1549;1551;1552;1553;1554;1556;1557;1558;1559;1570; 1573;1574;1577;1579;1580;1583;1585;1587;1588;1589; 1590;1592;1593;1595;1598;1599;1600;1601;1603;1604; 1607;1608;1611;1612;1615;1617;1618;1619;1620;1622; 1623;1625;1626;1628;1630;1631;1633;1634;1635;1636; 1637;1638;1639;1641;1642;1643;1645;1646;1648;1649; 1650;1651;1652;1653;1654;1656;1659;1661;1662;1663; 1664;1665;1666;1668;1670;1671;1673;1674;1676;1677; 1678;1679;1699;1700;1705;1707;1708;1711;1721;1722; 1725;1727;1729;1731;1732;1735;1737;1739;1740;1743; 1744;1747;1749;1750;1752;1755;1756;1758;1760;1762; 1765;1766;1769;1770;1773;1775;1776;1778;1780;1783; 1785;1787;1788;1791;1793;1795;1797;1799;1816;1819; 1830;1833;1834;1836;1839;1840;1843;1844;1847;1848; 1850;1852;1854;1857;1859;1873;1923;1926;1928;1931; 1941;1944;1947;1948;1955;1957;1958;1959;1960;1961; 1962;1963;1964;1965;1966;1968;1969;1970;1971;1972; 1973;1975;1977;1980;1981;1983;1984;1985;1986;1987; 1989;1990;1992;1993;1994;1995;1996;1997;1999;2001; 2002;2003;2004;2005;2006;2007;2008;2009;2010;2012; 2014;2015;2016;2017;2018;2019;2021;2022;2023;2025; 2027;2028;2030;2031;2032;2033;2034;2035;2036;2037; 2038;2039;2041;2042;2044;2062;2097;2098;2101;2103; 2104;2106;2109;2111;2112;2114;2117;2118;2120;2123; 2124;2126;2128;2131;2132;2134;2135;2136;2139;2141; 2143;2144;2147;2149;2150;2152;2154;2157;2159;2164; 2167;2168;2171;2172;2174;2175;2188;2189;2191;2192; 2194;2195;2196;2197;2198;2199;2200;2201;2202;2203; 2204;2205;2206;2207;2208;2209;2210;2211;2212;2213; 2214;2215;2216;2217;2218;2220;2221;2222;2223;2224; 2225;2226;2227;2229;2230;2231;2232;2233;2234;2235; 2236;2237;2238;2239;2240;2242;2243;2244;2245;2246; 2247;2248;2249;2250;2251;2252;2253;2254;2255;2256; 2257;2258;2259;2260;2261;2262;2263;2264;2265;2266; 2267;2268;2269;2270;2271;2272;2273;2274;2275;2276; 2277;2278;2279;2286;2288;2299;2301;2303;2305;2307; 2309;2311;2312;2313;2314;2315;2316;2319;2322;2324; 2327;2328;2330;2333;2334;2336;2339;2340;2342;2344; 2347;2349;2350;2353;2355;2356;2359;2360;2362;2364; 2367;2369;2370;2372;2375;2376;2379;2381;2382;2384; 2387;2389;2390;2393;2395;2397;2398;2401;2402;2403; 2404;2406;2408;2409;2410;2413;2415;2416;2417;2418; 2419;2420;2421;2422;2423;2424;2426;2427;2428;2429; 2430;2431;2432;2433;2434;2435;2436;2437;2438;2439; 2440;2441;2443;2444;2445;2446;2447;2448;2449;2450; 2451;2452;2453;2454;2455;2456;2457;2458;2459;2460; 2461;2462;2463;2464;2465;2466;2467;2468;2469;2470; 2471;2472;2473;2474;2475;2476;2477;2478;2479;2480; 2481;2482;2483;2484;2485;2486;2487;2488;2489;2490; 2491;2492;2493;2494;2495;2496;2497;2498;2499;2500; 2501;2502;2503;2504;2505;2506;2507;2508;2509;2510; 2511;2512;2513;2514;2515;2516;2517;2518;2519;2527; 2555;2556;2559;2560;2563;2565;2566;2568;2570;2572; 2574;2575;2577;2579;2580;2581;2582;2584;2585;2586; 2587;2588;2589;2590;2591;2593;2594;2595;2596;2597; 2598;2599;2600;2601;2602;2604;2606;2607;2608;2609; 2611;2612;2613;2614;2615;2616;2617;2618;2619;2620; 2621;2622;2623;2624;2625;2626;2628;2629;2630;2631; 2632;2633;2635;2637;2638;2639;2640;2643;2644;2647; 2648;2650;2652;2655;2656;2658;2661;2662;2664;2666; 2669;2671;2673;2674;2679;2680;2683;2685;2686;2688; 2689;2690;2691;2692;2693;2694;2695;2696;2697;2698; 2699;2700;2701;2702;2703;2704;2705;2706;2707;2708; 2709;2710;2711;2712;2713;2714;2715;2716;2717;2718; 2719;2720;2721;2722;2723;2724;2725;2726;2727;2728; 2729;2730;2731;2732;2733;2734;2735;2736;2737;2738; 2739;2740;2741;2742;2743;2744;2745;2746;2747;2748; 2749;2750;2751;2752;2753;2754;2755;2756;2757;2758; 2759;2771;2772;2774;2776;2779;2780;2783;2784;2787; 2798;2801;2802;2805;2806;2809;2810;2812;2814;2816; 2820;2822;2823;2824;2829;2831;2833;2835;2838;2850; 2854;2855;2856;2866;2868;2870;2874;2878;2879;2887; 2889;2893;2894;2896;2899;2911;2913;2915;2917;2918; 2920;2922;2925;2926;2928;2932;2936;2939;2941;2943; 2944;2946;2949;2951;2953;2954;2955;2957;2958;2961; 2963;2964;2966;2969;2971;2977;2979;2980;2983;2984; 2986;2989;2991;2993;2995;2997;2999;3005;3007;3012; 3013;3015;3017;3018;3021;3023;3025;3026;3031;3034; 3037;3038;3039;3041;3042;3044;3056;3058;3060;3061; 3062;3063;3064;3065;3066;3067;3068;3069;3070;3071; 3072;3073;3074;3075;3076;3077;3078;3079;3080;3081; 3082;3083;3084;3085;3086;3087;3088;3089;3090;3091; 3092;3093;3094;3095;3096;3097;3098;3099;3100;3101; 3102;3103;3104;3105;3106;3107;3108;3109;3110;3111; 3112;3113;3114;3115;3116;3117;3118;3119;3128;3130; 3132;3133;3137;3140;3141;3142;3143;3145;3148;3151; 3153;3154;3159;3161;3162;3165;3166;3168;3172;3174; 3176;3178;3182;3184;3186;3187;3192;3203;3205;3210; 3213;3216;3219;3220;3221;3222;3223;3224;3230;3233; 3235;3236;3239;3244;3245;3246;3247;3248;3249;3250; 3251;3252;3253;3255;3256;3257;3260;3261;3263;3264; 3267;3271;3289;3295;3300;3301;3303;3304;3307;3308; 3309;3310;3311;3313;3314;3315;3316;3318;3320;3322; 3324;3325;3329;3333;3338;3339;3340;3341;3344;3345; 3346;3348;3351;3352;3354;3356;3357;3371;3372;3374; 3376;3379;3380;3383;3384;3385;3386;3387;3389;3391; 3392;3399;3400;3403;3404;3406;3414;3416;3419;3421; 3423;3424;3425;3427;3429;3430;3431;3432;3433;3434; 3438;3440;3441;3447;3448;3450;3451;3453;3454;3456; 3462;3463;3464;3467;3471;3472;3473;3476;3477;3479; 3482;3487;3488;3505;3506;3515;3517;3520;3524;3526; 3540;3542;3545;3546;3548;3549;3550;3551;3552;3555; 3556;3558;3560;3561;3563;3565;3566;3568;3571;3572; 3574;3577;3579;3580;3581;3582;3584;3587;3589;3590; 3591;3593;3594;3595;3597;3598;3613;3614;3615;3616; 3617;3618;3619;3620;3621;3622;3623;3631;3634;3637; 3638;3640;3642;3643;3646;3647;3651;3653;3659;3660; 3661;3662;3663;3664;3665;3666;3667;3668;3669;3670; 3671;3672;3673;3674;3675;3676;3677;3678;3679;3680; 3681;3682;3683;3684;3685;3687;3688;3689;3690;3691; 3692;3693;3694;3695;3699;3700;3701;3702;3703;3704; 3705;3707;3708;3709;3710;3711;3712;3714;3715;3716; 3717;3718;3719;3725;3727;3734;3738;3740;3743;3744; 3745;3746;3752;3754;3755;3757;3758;3759;3760;3761; 3762;3763;3764;3767;3768;3770;3774;3775;3777;3779; 3781;3782;3783;3785;3786;3787;3788;3790;3791;3792; 3794;3795;3796;3797;3798;3799;3800;3802;3803;3804; 3806;3808;3809;3810;3813;3814;3815;3816;3817;3818; 3819;3820;3822;3823;3824;3825;3827;3831;3832;3834; 3835;3836;3837;3838;3839;3847;3851;3867;3868;3871; 3872;3873;3874;3877;3878;3881;3885;3890;3891;3892; 3893;3895;3896;3897;3898;3899;3900;3901;3902;3903; 3904;3905;3906;3907;3908;3910;3911;3912;3913;3914; 3915;3916;3917;3918;3919;3920;3921;3923;3925;3926; 3927;3929;3930;3931;3932;3933;3934;3935;3936;3937; 3939;3940;3941;3943;3944;3945;3946;3947;3948;3949; 3951;3952;3954;3958;3959;3971;3973;3974;3977;3978; 3979;3980;3983;3984;3986;3987;3989;3990;3992;3995; 3996;4004;4005;4006;4007;4008;4009;4010;4012;4017; 4018;4021;4022;4023;4026;4028;4029;4030;4035;4036; 4037;4038;4039;4040;4041;4042;4043;4044;4046;4048; 4049;4050;4052;4053;4054;4055;4056;4057;4058;4059; 4062;4063;4064;4066;4067;4068;4069;4070;4071;4072; 4073;4074;4075;4077;4079;4081;4083;4084;4088;4091; 4094;4107;4111;4121;4123;4135;4140;4141;4142;4143; 4145;4147;4148;4150;4152;4153;4154;4155;4156;4157; 4158;4160;4161;4162;4163;4164;4165;4166;4167;4168; 4169;4170;4171;4172;4173;4174;4175;4176;4177;4178; 4179;4181;4182;4183;4184;4185;4186;4187;4188;4189; 4190;4191;4192;4193;4194;4195;4196;4198;4199;4208; 4211;4212;4220;4231;4232;4235;4237;4239;4240;4241; 4242;4243;4244;4245;4246;4247;4248;4250;4251;4252; 4253;4254;4255;4256;4257;4258;4259;4260;4261;4262; 4263;4264;4265;4266;4267;4268;4269;4270;4271;4272; 4273;4274;4275;4277;4278;4279;4280;4281;4282;4283; 4284;4285;4286;4287;4288;4289;4290;4291;4292;4293; 4294;4295;4296;4297;4298;4299;4300;4301;4302;4303; 4304;4305;4306;4307;4308;4309;4310;4311;4312;4313; 4314;4315;4316;4317;4318;4319;4331;4333;4334;4335; 4337;4338;4339;4340;4341;4342;4343;4344;4345;4347; 4348;4349;4350;4351;4352;4353;4354;4357;4358;4360; 4362;4363;4364;4365;4366;4367;4369;4370;4378;4381; 4382;4383;4384;4385;4386;4388;4391;4392;4393;4395; 4397;4399;4400;4401;4402;4404;4405;4406;4408;4409; 4411;4413;4414;4416;4417;4418;4420;4423;4424;4425; 4426;4428;4430;4432;4433;4434;4435;4438;4448;4455; 4456;4457;4459;4461;4462;4474;4477;4479;4480;4482; 4485;4486;4489;4490;4491;4492;4493;4494;4495;4496; 4497;4498;4499;4500;4502;4503;4505;4506;4507;4509; 4510;4511;4512;4513;4515;4516;4518;4519;4520;4521; 4522;4525;4526;4529;4530;4531;4533;4535;4536;4537; 4538;4539;4540;4543;4544;4546;4549;4551;4552;4555; 4556;4557;4559;4571;4572;4577;4579;4580;4582;4584; 4586;4589;4590;4593;4594;4596;4599;4600;4603;4604; 4607;4609;4610;4612;4613;4614;4615;4616;4617;4619; 4621;4622;4623;4624;4625;4627;4628;4629;4630;4631; 4632;4634;4635;4637;4638;4639;4641;4643;4644;4649; 4650;4651;4653;4654;4655;4661;4662;4663;4664;4665; 4667;4668;4670;4671;4672;4673;4674;4676;4677;4678; 4679;4685;4707;4709;4710;4712;4714;4717;4719;4720; 4722;4727;4729;4730;4731;4732;4733;4735;4736;4737; 4738;4740;4741;4742;4743;4744;4745;4746;4747;4748; 4749;4750;4751;4752;4753;4754;4755;4756;4757;4758; 4760;4761;4762;4763;4764;4765;4766;4767;4768;4769; 4770;4771;4772;4773;4774;4775;4776;4777;4778;4779; 4780;4781;4782;4784;4785;4786;4787;4788;4789;4790; 4791;4792;4794;4795;4796;4797;4798;4799;4811;4862; 4864;4867;4869;4870;4873;4875;4876;4877;4879;4881; 4883;4884;4891;4894;4895;4896;4897;4898;4905;4907; 4910;4914;4920;4922;4930;4933;4955;4956;4958;4961; 4962;4965;4967;4968;4970;4973;4974;4976;4979;4980; 4981;4982;4983;4984;4985;4986;4987;4988;4989;4990; 4991;4993;4994;4995;4996;4997;4998;4999;5000;5001; 5002;5003;5004;5005;5006;5007;5008;5009;5010;5011; 5012;5013;5014;5015;5016;5017;5018;5019;5020;5021; 5022;5023;5024;5025;5026;5027;5028;5029;5030;5031; 5032;5033;5034;5035;5036;5037;5038;5039;5043;5046; 5049;5050;5053;5054;5055;5056;5057;5058;5059;5060; 5062;5064;5067;5068;5073;5079;5083;5087;5088;5090; 5093;5094;5096;5099;5101;5102;5105;5106;5109;5110; 5112;5115;5117;5118;5121;5122;5124;5127;5128;5131; 5132;5134;5136;5139;5140;5143;5144;5146;5149;5150; 5152;5155;5156;5159;5180;5220;5224;5244;5248;5254; 5256;5259;5260;5278;5285;5288;5290;5293;5294;5295; 5296;5297;5298;5299;5301;5302;5305;5306;5308;5310; 5313;5314;5316;5319;5320;5323;5325;5327;5328;5330; 5331;5333;5340;5341;5345;5346;5349;5350;5353;5354; 5358;5359;5362;5365;5366;5369;5370;5375;5377;5381; 5384;5385;5387;5392;5393;5399;5414;5416;5418;5421; 5424;5426;5428;5430;5433;5435;5439;5450;5457;5460; 5462;5464;5466;5468;5470;5473;5474;5475;5476;5477; 5478;5479;5481;5482;5483;5485;5486;5489;5490;5491; 5492;5494;5497;5498;5499;5501;5503;5504;5506;5509; 5510;5513;5514;5517;5518;5533;5535;5537;5538;5540; 5541;5542;5545;5546;5547;5548;5549;5551;5553;5554; 5556;5557;5558;5579;5580;5581;5583;5586;5587;5589; 5592;5593;5594;5599;5600;5601;5603;5605;5610;5611; 5615;5618;5622;5623;5624;5627;5628;5631;5635;5637; 5638;5639;5646;5649;5650;5652;5655;5658;5660;5665; 5666;5668;5670;5673;5674;5676;5677;5678;5679;5681; 5683;5684;5685;5686;5687;5689;5690;5692;5693;5694; 5695;5696;5698;5700;5702;5703;5705;5706;5707;5708; 5709;5711;5712;5713;5717;5718;5720;5721;5723;5724; 5725;5726;5728;5731;5732;5735;5736;5738;5739;5740; 5741;5742;5744;5746;5747;5748;5749;5750;5752;5753; 5754;5755;5756;5758;5762;5768;5771;5772;5775;5778; 5780;5781;5782;5783;5784;5785;5786;5787;5800;5801; 5802;5803;5804;5805;5806;5809;5811;5812;5813;5814; 5815;5816;5817;5818;5819;5820;5821;5822;5823;5824; 5825;5826;5827;5828;5829;5830;5831;5832;5833;5834; 5835;5836;5837;5838;5839;5840;5841;5842;5843;5844; 5845;5846;5847;5848;5849;5850;5851;5852;5853;5854; 5855;5856;5857;5858;5859;5860;5861;5862;5863;5864; 5865;5866;5867;5868;5869;5870;5871;5872;5873;5874; 5875;5876;5877;5878;5879;5890;5901;5907;5908;5913; 5914;5916;5919;5920;5921;5922;5924;5933;5934;5935; 5936;5938;5940;5941;5942;5943;5944;5945;5946;5948; 5950;5951;5952;5953;5954;5955;5956;5957;5959;5960; 5961;5962;5964;5965;5966;5967;5968;5969;5971;5972; 5973;5975;5976;5977;5979;5980;5981;5982;5983;5984; 5985;5986;5987;5989;5990;5991;5992;5993;5995;5997; 5998;5999; }; last_sort_spec { name="last_sort_spec"; ops { name="ops"; el_typ=DataSortEl; el_def=0; }; }; control_panel_cells { name="control_panel_cells"; el_typ=DataTableCell; el_def=0; }; }; DataTable @["EpochOutputData"] { name="EpochOutputData"; desc=; data { name="data"; el_typ=int_Data; el_def=0; int_Data @["batch"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_77"; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1166077703237534; val_type_fixed=0; }; }; name="batch"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [3000] 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 1;1;1;1;1;1;1;1;1;1; 1;1;1;1;1;1;1;1;1;1; 1;1;1;1;1;1;1;1;1;1; 1;1;1;1;1;1;1;1;1;1; 1;1;1;1;1;1;1;1;1;1; 1;1;1;1;1;1;1;1;1;1; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 3;3;3;3;3;3;3;3;3;3; 3;3;3;3;3;3;3;3;3;3; 3;3;3;3;3;3;3;3;3;3; 3;3;3;3;3;3;3;3;3;3; 3;3;3;3;3;3;3;3;3;3; 3;3;3;3;3;3;3;3;3;3; 4;4;4;4;4;4;4;4;4;4; 4;4;4;4;4;4;4;4;4;4; 4;4;4;4;4;4;4;4;4;4; 4;4;4;4;4;4;4;4;4;4; 4;4;4;4;4;4;4;4;4;4; 4;4;4;4;4;4;4;4;4;4; 5;5;5;5;5;5;5;5;5;5; 5;5;5;5;5;5;5;5;5;5; 5;5;5;5;5;5;5;5;5;5; 5;5;5;5;5;5;5;5;5;5; 5;5;5;5;5;5;5;5;5;5; 5;5;5;5;5;5;5;5;5;5; 6;6;6;6;6;6;6;6;6;6; 6;6;6;6;6;6;6;6;6;6; 6;6;6;6;6;6;6;6;6;6; 6;6;6;6;6;6;6;6;6;6; 6;6;6;6;6;6;6;6;6;6; 6;6;6;6;6;6;6;6;6;6; 7;7;7;7;7;7;7;7;7;7; 7;7;7;7;7;7;7;7;7;7; 7;7;7;7;7;7;7;7;7;7; 7;7;7;7;7;7;7;7;7;7; 7;7;7;7;7;7;7;7;7;7; 7;7;7;7;7;7;7;7;7;7; 8;8;8;8;8;8;8;8;8;8; 8;8;8;8;8;8;8;8;8;8; 8;8;8;8;8;8;8;8;8;8; 8;8;8;8;8;8;8;8;8;8; 8;8;8;8;8;8;8;8;8;8; 8;8;8;8;8;8;8;8;8;8; 9;9;9;9;9;9;9;9;9;9; 9;9;9;9;9;9;9;9;9;9; 9;9;9;9;9;9;9;9;9;9; 9;9;9;9;9;9;9;9;9;9; 9;9;9;9;9;9;9;9;9;9; 9;9;9;9;9;9;9;9;9;9; 10;10;10;10;10;10;10;10;10;10; 10;10;10;10;10;10;10;10;10;10; 10;10;10;10;10;10;10;10;10;10; 10;10;10;10;10;10;10;10;10;10; 10;10;10;10;10;10;10;10;10;10; 10;10;10;10;10;10;10;10;10;10; 11;11;11;11;11;11;11;11;11;11; 11;11;11;11;11;11;11;11;11;11; 11;11;11;11;11;11;11;11;11;11; 11;11;11;11;11;11;11;11;11;11; 11;11;11;11;11;11;11;11;11;11; 11;11;11;11;11;11;11;11;11;11; 12;12;12;12;12;12;12;12;12;12; 12;12;12;12;12;12;12;12;12;12; 12;12;12;12;12;12;12;12;12;12; 12;12;12;12;12;12;12;12;12;12; 12;12;12;12;12;12;12;12;12;12; 12;12;12;12;12;12;12;12;12;12; 13;13;13;13;13;13;13;13;13;13; 13;13;13;13;13;13;13;13;13;13; 13;13;13;13;13;13;13;13;13;13; 13;13;13;13;13;13;13;13;13;13; 13;13;13;13;13;13;13;13;13;13; 13;13;13;13;13;13;13;13;13;13; 14;14;14;14;14;14;14;14;14;14; 14;14;14;14;14;14;14;14;14;14; 14;14;14;14;14;14;14;14;14;14; 14;14;14;14;14;14;14;14;14;14; 14;14;14;14;14;14;14;14;14;14; 14;14;14;14;14;14;14;14;14;14; 15;15;15;15;15;15;15;15;15;15; 15;15;15;15;15;15;15;15;15;15; 15;15;15;15;15;15;15;15;15;15; 15;15;15;15;15;15;15;15;15;15; 15;15;15;15;15;15;15;15;15;15; 15;15;15;15;15;15;15;15;15;15; 16;16;16;16;16;16;16;16;16;16; 16;16;16;16;16;16;16;16;16;16; 16;16;16;16;16;16;16;16;16;16; 16;16;16;16;16;16;16;16;16;16; 16;16;16;16;16;16;16;16;16;16; 16;16;16;16;16;16;16;16;16;16; 17;17;17;17;17;17;17;17;17;17; 17;17;17;17;17;17;17;17;17;17; 17;17;17;17;17;17;17;17;17;17; 17;17;17;17;17;17;17;17;17;17; 17;17;17;17;17;17;17;17;17;17; 17;17;17;17;17;17;17;17;17;17; 18;18;18;18;18;18;18;18;18;18; 18;18;18;18;18;18;18;18;18;18; 18;18;18;18;18;18;18;18;18;18; 18;18;18;18;18;18;18;18;18;18; 18;18;18;18;18;18;18;18;18;18; 18;18;18;18;18;18;18;18;18;18; 19;19;19;19;19;19;19;19;19;19; 19;19;19;19;19;19;19;19;19;19; 19;19;19;19;19;19;19;19;19;19; 19;19;19;19;19;19;19;19;19;19; 19;19;19;19;19;19;19;19;19;19; 19;19;19;19;19;19;19;19;19;19; 20;20;20;20;20;20;20;20;20;20; 20;20;20;20;20;20;20;20;20;20; 20;20;20;20;20;20;20;20;20;20; 20;20;20;20;20;20;20;20;20;20; 20;20;20;20;20;20;20;20;20;20; 20;20;20;20;20;20;20;20;20;20; 21;21;21;21;21;21;21;21;21;21; 21;21;21;21;21;21;21;21;21;21; 21;21;21;21;21;21;21;21;21;21; 21;21;21;21;21;21;21;21;21;21; 21;21;21;21;21;21;21;21;21;21; 21;21;21;21;21;21;21;21;21;21; 22;22;22;22;22;22;22;22;22;22; 22;22;22;22;22;22;22;22;22;22; 22;22;22;22;22;22;22;22;22;22; 22;22;22;22;22;22;22;22;22;22; 22;22;22;22;22;22;22;22;22;22; 22;22;22;22;22;22;22;22;22;22; 23;23;23;23;23;23;23;23;23;23; 23;23;23;23;23;23;23;23;23;23; 23;23;23;23;23;23;23;23;23;23; 23;23;23;23;23;23;23;23;23;23; 23;23;23;23;23;23;23;23;23;23; 23;23;23;23;23;23;23;23;23;23; 24;24;24;24;24;24;24;24;24;24; 24;24;24;24;24;24;24;24;24;24; 24;24;24;24;24;24;24;24;24;24; 24;24;24;24;24;24;24;24;24;24; 24;24;24;24;24;24;24;24;24;24; 24;24;24;24;24;24;24;24;24;24; 25;25;25;25;25;25;25;25;25;25; 25;25;25;25;25;25;25;25;25;25; 25;25;25;25;25;25;25;25;25;25; 25;25;25;25;25;25;25;25;25;25; 25;25;25;25;25;25;25;25;25;25; 25;25;25;25;25;25;25;25;25;25; 26;26;26;26;26;26;26;26;26;26; 26;26;26;26;26;26;26;26;26;26; 26;26;26;26;26;26;26;26;26;26; 26;26;26;26;26;26;26;26;26;26; 26;26;26;26;26;26;26;26;26;26; 26;26;26;26;26;26;26;26;26;26; 27;27;27;27;27;27;27;27;27;27; 27;27;27;27;27;27;27;27;27;27; 27;27;27;27;27;27;27;27;27;27; 27;27;27;27;27;27;27;27;27;27; 27;27;27;27;27;27;27;27;27;27; 27;27;27;27;27;27;27;27;27;27; 28;28;28;28;28;28;28;28;28;28; 28;28;28;28;28;28;28;28;28;28; 28;28;28;28;28;28;28;28;28;28; 28;28;28;28;28;28;28;28;28;28; 28;28;28;28;28;28;28;28;28;28; 28;28;28;28;28;28;28;28;28;28; 29;29;29;29;29;29;29;29;29;29; 29;29;29;29;29;29;29;29;29;29; 29;29;29;29;29;29;29;29;29;29; 29;29;29;29;29;29;29;29;29;29; 29;29;29;29;29;29;29;29;29;29; 29;29;29;29;29;29;29;29;29;29; 30;30;30;30;30;30;30;30;30;30; 30;30;30;30;30;30;30;30;30;30; 30;30;30;30;30;30;30;30;30;30; 30;30;30;30;30;30;30;30;30;30; 30;30;30;30;30;30;30;30;30;30; 30;30;30;30;30;30;30;30;30;30; 31;31;31;31;31;31;31;31;31;31; 31;31;31;31;31;31;31;31;31;31; 31;31;31;31;31;31;31;31;31;31; 31;31;31;31;31;31;31;31;31;31; 31;31;31;31;31;31;31;31;31;31; 31;31;31;31;31;31;31;31;31;31; 32;32;32;32;32;32;32;32;32;32; 32;32;32;32;32;32;32;32;32;32; 32;32;32;32;32;32;32;32;32;32; 32;32;32;32;32;32;32;32;32;32; 32;32;32;32;32;32;32;32;32;32; 32;32;32;32;32;32;32;32;32;32; 33;33;33;33;33;33;33;33;33;33; 33;33;33;33;33;33;33;33;33;33; 33;33;33;33;33;33;33;33;33;33; 33;33;33;33;33;33;33;33;33;33; 33;33;33;33;33;33;33;33;33;33; 33;33;33;33;33;33;33;33;33;33; 34;34;34;34;34;34;34;34;34;34; 34;34;34;34;34;34;34;34;34;34; 34;34;34;34;34;34;34;34;34;34; 34;34;34;34;34;34;34;34;34;34; 34;34;34;34;34;34;34;34;34;34; 34;34;34;34;34;34;34;34;34;34; 35;35;35;35;35;35;35;35;35;35; 35;35;35;35;35;35;35;35;35;35; 35;35;35;35;35;35;35;35;35;35; 35;35;35;35;35;35;35;35;35;35; 35;35;35;35;35;35;35;35;35;35; 35;35;35;35;35;35;35;35;35;35; 36;36;36;36;36;36;36;36;36;36; 36;36;36;36;36;36;36;36;36;36; 36;36;36;36;36;36;36;36;36;36; 36;36;36;36;36;36;36;36;36;36; 36;36;36;36;36;36;36;36;36;36; 36;36;36;36;36;36;36;36;36;36; 37;37;37;37;37;37;37;37;37;37; 37;37;37;37;37;37;37;37;37;37; 37;37;37;37;37;37;37;37;37;37; 37;37;37;37;37;37;37;37;37;37; 37;37;37;37;37;37;37;37;37;37; 37;37;37;37;37;37;37;37;37;37; 38;38;38;38;38;38;38;38;38;38; 38;38;38;38;38;38;38;38;38;38; 38;38;38;38;38;38;38;38;38;38; 38;38;38;38;38;38;38;38;38;38; 38;38;38;38;38;38;38;38;38;38; 38;38;38;38;38;38;38;38;38;38; 39;39;39;39;39;39;39;39;39;39; 39;39;39;39;39;39;39;39;39;39; 39;39;39;39;39;39;39;39;39;39; 39;39;39;39;39;39;39;39;39;39; 39;39;39;39;39;39;39;39;39;39; 39;39;39;39;39;39;39;39;39;39; 40;40;40;40;40;40;40;40;40;40; 40;40;40;40;40;40;40;40;40;40; 40;40;40;40;40;40;40;40;40;40; 40;40;40;40;40;40;40;40;40;40; 40;40;40;40;40;40;40;40;40;40; 40;40;40;40;40;40;40;40;40;40; 41;41;41;41;41;41;41;41;41;41; 41;41;41;41;41;41;41;41;41;41; 41;41;41;41;41;41;41;41;41;41; 41;41;41;41;41;41;41;41;41;41; 41;41;41;41;41;41;41;41;41;41; 41;41;41;41;41;41;41;41;41;41; 42;42;42;42;42;42;42;42;42;42; 42;42;42;42;42;42;42;42;42;42; 42;42;42;42;42;42;42;42;42;42; 42;42;42;42;42;42;42;42;42;42; 42;42;42;42;42;42;42;42;42;42; 42;42;42;42;42;42;42;42;42;42; 43;43;43;43;43;43;43;43;43;43; 43;43;43;43;43;43;43;43;43;43; 43;43;43;43;43;43;43;43;43;43; 43;43;43;43;43;43;43;43;43;43; 43;43;43;43;43;43;43;43;43;43; 43;43;43;43;43;43;43;43;43;43; 44;44;44;44;44;44;44;44;44;44; 44;44;44;44;44;44;44;44;44;44; 44;44;44;44;44;44;44;44;44;44; 44;44;44;44;44;44;44;44;44;44; 44;44;44;44;44;44;44;44;44;44; 44;44;44;44;44;44;44;44;44;44; 45;45;45;45;45;45;45;45;45;45; 45;45;45;45;45;45;45;45;45;45; 45;45;45;45;45;45;45;45;45;45; 45;45;45;45;45;45;45;45;45;45; 45;45;45;45;45;45;45;45;45;45; 45;45;45;45;45;45;45;45;45;45; 46;46;46;46;46;46;46;46;46;46; 46;46;46;46;46;46;46;46;46;46; 46;46;46;46;46;46;46;46;46;46; 46;46;46;46;46;46;46;46;46;46; 46;46;46;46;46;46;46;46;46;46; 46;46;46;46;46;46;46;46;46;46; 47;47;47;47;47;47;47;47;47;47; 47;47;47;47;47;47;47;47;47;47; 47;47;47;47;47;47;47;47;47;47; 47;47;47;47;47;47;47;47;47;47; 47;47;47;47;47;47;47;47;47;47; 47;47;47;47;47;47;47;47;47;47; 48;48;48;48;48;48;48;48;48;48; 48;48;48;48;48;48;48;48;48;48; 48;48;48;48;48;48;48;48;48;48; 48;48;48;48;48;48;48;48;48;48; 48;48;48;48;48;48;48;48;48;48; 48;48;48;48;48;48;48;48;48;48; 49;49;49;49;49;49;49;49;49;49; 49;49;49;49;49;49;49;49;49;49; 49;49;49;49;49;49;49;49;49;49; 49;49;49;49;49;49;49;49;49;49; 49;49;49;49;49;49;49;49;49;49; 49;49;49;49;49;49;49;49;49;49; }; }; int_Data @["epoch"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_78"; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1166077703237534; val_type_fixed=0; }; }; name="epoch"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [3000] 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; }; }; float_Data @["avg_sse"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_83"; el_typ=UserDataItem; el_def=0; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1166077703237534; val_type_fixed=0; }; }; name="avg_sse"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [3000] 0.97456264;0.973894;0.97429585;0.63025618;0.63285917;0.6309461;0.62569338;0.6298703;0.62708753;0.63329864; 0.63035959;0.62834865;0.5;0.62951571;0.63281775;1.2067908;0.62601358;0.62779808;1.1003774;1.1053452; 1.101426;1.0964301;1.1037135;1.1025195;1.0996592;1.0967734;1.1033136;1.1051162;1.0775459;1.0872092; 1.0917292;1.230032;1.2269334;1.2282327;1.2352734;0.62751526;1.2357304;0.63226396;1.2348564;1.2251405; 0.5;0.63175547;1.2111478;0.62851954;1.230101;0.62719572;1.2126906;1.2311673;1.0978711;0.63212645; 1.2278628;1.2349544;1.2361202;0.62901437;1.2375187;1.2068651;1.2074909;1.1040068;1.2302076;1.2179086; 0.97439694;0.97163647;0.97367382;0.97455823;0.9744668;1.1062715;1.1003511;1.1051059;0.97162592;1.1042737; 1.0997576;0.63012087;0.9692921;0.6272912;0.5;0.5;0.5;0.62928796;0.5;1.0069032; 1.1015012;0.63073522;0.62820393;0.62877709;0.62540281;0.62834501;1.1011428;0.63198584;0.62864453;0.62506908; 1.2308927;1.2314837;1.2290578;1.2366915;1.1985288;1.2343836;1.1055474;0.63386726;0.62764448;1.1060787; 1.0971301;1.1022209;1.2280231;1.232446;1.1991467;0.6310696;1.2294195;1.1982508;1.2282665;1.2353864; 1.1010947;1.1051447;1.1912947;1.2255393;1.1059703;1.2312713;0.62880218;1.1012408;1.1055529;1.2003515; 0.9728924;0.97455937;0.97098637;0.97417486;0.97180891;0.97182274;1.1051549;1.0987258;0.97456264;1.1006299; 1.1069286;0.62522668;0.63308173;0.62900829;0.63170576;0.5;0.5;0.62799513;0.6297738;0.62509453; 0.63246596;0.62844652;0.6321584;0.6286515;0.5;0.5;0.62701476;0.5;0.62714326;0.5; 0.62925452;1.2389593;1.2277846;1.2326109;0.63243365;0.62955141;1.2264802;0.6303708;1.2345262;0.63324237; 1.2383559;1.2360203;0.62673861;1.2318726;1.2326996;1.2271714;1.2082188;0.62816679;1.2366834;1.2300574; 0.62620336;1.2294432;1.2372793;0.62817729;0.62715602;0.62569815;1.1048658;1.2267379;1.0997589;0.62835443; 1.08403;0.5;0.5;0.5;0.97455305;1.09953;0.97456139;1.1000177;0.63005161;0.62972236; 1.2110012;0.63140249;0.62694824;0.63219798;0.6279726;0.63029689;0.63085115;0.63195699;0.6281153;0.63011718; 0.6291768;0.63179582;0.62856853;0.79414326;1.2516301;0.98353434;1.1048291;1.1025212;1.1062138;1.1068133; 1.2328506;0.6278218;1.2057896;1.2361642;1.1007243;1.2376637;1.1000369;1.0967808;0.83497524;1.2345231; 0.80467236;1.2342103;1.2246218;1.2326703;0.91271985;1.2306312;1.2298975;1.2358661;1.2343386;1.2236573; 0.8789202;1.0929875;1.1036183;1.2360351;1.2372291;0.63275105;1.2316232;1.2296023;1.217684;0.85687029; 0.97390056;0.97455895;0.97456062;0.97455406;0.97293293;1.1046851;1.1030138;1.0961431;1.1045367;0.6254257; 0.5;0.62907946;0.62600052;0.63269496;0.5;1.0996032;1.1006335;1.1001524;1.0994782;0.5; 0.62723076;0.5;0.62515867;0.62647808;0.5;0.90225464;0.97206593;0.97456264;0.5;0.97406477; 1.2304418;1.1017131;1.1066639;1.1039191;1.2384437;1.103025;1.1054164;1.1039283;1.099643;1.1011132; 0.62605715;1.2259225;1.2337048;1.2383505;1.1021709;1.2328558;1.2357943;1.1047508;1.1065184;1.104104; 1.1044298;1.2325356;1.1058149;1.2365419;1.2314434;1.1072909;1.1065477;1.1054883;1.229211;1.1013484; 0.97445786;0.97415793;0.9739399;0.97446012;0.97115672;0.97445166;0.96707606;0.96935302;0.9736799;1.1019289; 1.1024176;1.1033363;1.0985718;0.9589448;1.1001146;1.0677108;1.0974276;1.0938584;1.1031449;0.5; 0.5;0.62538892;0.5;0.5;0.62568337;0.5;0.5;0.63137549;0.62636244;0.6257028; 0.97430205;1.1055288;1.1053025;1.233959;1.0995876;1.102355;0.5;1.0997374;1.0848231;1.0820141; 1.238698;1.1000851;1.0980177;1.0996996;1.2342963;1.2280723;1.2342285;1.0995519;1.102109;1.0951868; 1.233723;1.1049303;0.62721002;1.1044447;1.2359515;1.107484;1.1007843;1.1023383;1.1045551;1.1007614; 0.96935034;0.9730587;0.96825308;0.5;1.0896152;0.97017038;0.96872962;1.0920613;0.96764231;0.96803319; 1.0998571;0.9736715;0.97266531;0.97453809;1.2282398;1.2290323;1.2282113;0.75687742;1.1022376;1.0964825; 1.1005874;0.63269717;1.2190924;0.62681597;0.63077927;0.62860435;0.62753826;0.5;0.63055813;0.62726551; 0.62905467;0.63021427;1.2182343;1.21635;1.2137141;0.62794554;0.6339848;0.62755644;1.2104449;1.1008117; 0.62742841;0.62980092;0.63056207;1.2362375;0.62815559;0.62795138;1.2333717;0.62741804;0.63191831;0.62904394; 0.63079131;0.62808633;0.62649882;0.6332168;1.211179;0.63143152;0.62787658;1.2345206;0.63135487;0.62541163; 0.96858597;0.97006178;0.97072226;0.97122574;1.1005929;0.79837096;0.77606308;1.0804391;0.97393167;0.97108477; 0.96835613;0.97311592;0.96130842;0.97402894;1.102607;0.96819854;0.97411293;0.96889007;0.97286534;0.5; 0.5;0.5;0.5;0.5;0.5;0.5;0.5;0.5;0.5;0.5; 1.1025506;1.1049848;1.102798;1.1013987;1.1011919;1.1041323;0.62654161;1.1008388;1.1004943;1.1036842; 0.63200235;1.0956714;1.1033807;1.1064191;1.1056662;1.0682114;1.1003883;0.78449988;0.83173257;1.1053206; 1.2146826;1.1027496;1.0837142;0.62565285;0.62959921;1.1004789;1.1022723;1.1028529;1.1013077;1.105636; 0.97009826;0.5;0.95505178;0.5;1.0877039;0.5;1.0836711;0.5;1.0865259;0.5; 1.0846217;0.5;0.5;0.63153219;0.5;0.5;0.9715395;0.5;0.5;0.96188164; 0.97373462;0.97456264;0.97456324;0.97455847;0.97453278;0.97453451;0.97456264;0.97335279;0.97456229;0.97453308; 0.97329736;0.94852549;1.0962746;0.62686777;1.0980458;1.1036818;0.97293329;1.0936937;1.2209473;1.0955105; 0.62532365;0.95601451;1.1013384;1.0976619;1.2264715;0.6275444;1.0876799;0.97330552;0.63423043;1.1037941; 1.1022193;0.5;1.1076182;0.63152659;1.069582;0.86609274;0.97379643;1.0987697;0.5;1.1053419; 1.1051148;0.97456264;0.97446305;0.97291219;1.0975649;0.97273946;0.5;0.82174098;1.1065774;0.62869954; 0.62987036;0.62505639;0.5;0.62886083;0.96878111;0.63241231;0.62855536;0.6252861;0.62623221;0.62548411; 0.63342869;0.62506068;1.2526748;1.1066711;0.62998849;0.98710072;1.10105;0.97456264;0.6310463;0.62960625; 0.63098407;0.63171756;1.233982;1.2332978;1.2266034;1.2353178;1.2297328;1.2348498;1.2326322;1.2277927; 1.1005013;0.62578523;1.2353553;1.2292961;0.85310715;1.2341142;1.2372202;1.2276809;1.2325805;1.2374029; 0.63154805;1.2301375;1.2351987;0.62766242;0.62798917;0.5;1.2349654;1.2305641;1.2321312;0.5; 0.96508801;0.5;0.63397837;0.5;0.5;0.5;0.5;0.5;0.96580541;0.64478219; 0.5;0.5;0.9737736;0.5;0.5;0.5;0.5;0.96253479;0.96649432;0.96148503; 0.97403491;0.9741596;0.9708842;0.96599221;0.96924585;0.96002913;0.96660513;0.970626;0.5;0.5; 1.2336147;1.1021385;1.2372553;1.2376764;1.2298697;1.1018547;1.2330486;1.103583;1.1026871;1.1017922; 1.2318228;1.1028314;1.1045732;1.1003851;1.1036875;1.2351599;1.2322742;1.2375215;1.1071332;1.1009705; 1.1015126;1.2356391;1.0982959;1.2350469;1.2310338;1.2333648;1.2315451;1.2318081;1.2330527;1.101985; 0.97121596;0.97170639;0.96524471;0.90006602;0.5;0.5;0.5;0.5;0.96708697;0.5; 0.5;0.5;0.5;0.5;0.5;0.5;0.5;0.5;0.63056576;0.5; 0.62659407;0.63404685;0.5;0.5;0.5;0.97300732;0.5;0.5;0.96875513;0.5; 1.2335687;1.099997;1.0998861;1.2289038;1.0947531;0.62585205;1.2347604;1.2377026;1.1019773;1.1071571; 1.1017147;1.100862;0.62635255;1.0961373;1.2351482;0.62643391;1.101368;1.2304718;0.62671787;1.2308294; 1.2382263;0.63166708;1.0976903;1.2277001;0.63109708;1.1051344;1.0988518;1.2335107;1.1024655;0.62806749; 1.1045208;1.1047685;1.1076891;1.1020741;1.1050926;1.1006683;1.1001066;1.1024029;1.0981486;1.1066538; 1.1062555;0.60595196;0.96907377;1.1071653;0.97456264;1.1037928;1.1057202;1.1020051;1.1035829;1.1095324; 1.105853;1.102766;1.1071239;0.62778139;0.62868589;0.6315363;0.62949562;0.62991107;0;0; 1.2363391;1.2345114;1.2417512;1.2320769;1.2412808;1.2275603;1.0934424;1.236414;1.2325499;1.2325245; 1.2333312;1.0942583;1.1281428;1.236428;1.2318231;1.2320579;1.2338133;1.2406365;1.2197714;1.097386; 1.2320671;1.2306409;1.0984584;1.2398391;1.2384179;1.1029117;1.2404835;1.0915334;1.2296216;1.2384593; 0.97221899;0.97425008;0.97399306;0.96788925;0.97448385;1.1023891;1.1008763;1.1013508;1.1063616;1.106885; 1.1007326;1.1027849;1.1027486;1.1003191;1.2353079;1.1016651;1.2321439;1.1046834;0.97313344;1.2245562; 1.2382996;1.103245;1.0974802;1.1025478;1.1015099;1.1021774;1.1003509;0.891837;0.5;0.62928069; 1.0975199;1.2311186;1.0894953;1.0957009;1.0687675;0.62550968;1.1081688;1.2312458;0.63083911;1.2294574; 1.0910125;1.2376194;1.0941029;1.1046236;0.6287322;1.2295181;0.6293633;1.0946871;1.1029614;1.1026299; 1.0993351;1.232898;0.63200432;1.103878;1.1034663;1.2403829;1.1052437;1.1021551;0.63206643;1.2308909; 0.97418094;0.97290927;0.97354341;0.97456264;0.97456002;0.97313851;0.97452152;0.97439885;0.97442353;1.0918795; 1.1020715;0.97424346;1.1037738;1.0994166;1.1017685;1.1002655;0.97439396;0.97352147;0.97410095;0.97436726; 0.5;0.5;0.5;0.5;0.5;0.5;0.5;0.5;0.5;0.5; 1.0916483;1.1002787;1.1021619;1.1003935;1.0943266;1.0958068;1.0993054;1.0963173;1.1010243;1.0936413; 1.1033465;1.0985597;1.0998969;1.0996542;1.0955124;1.0945742;1.1008538;1.1031011;1.0960159;1.0953355; 1.092759;1.0937541;1.088573;1.0919763;1.1009452;1.0986915;1.0921043;1.1068046;1.0996844;1.0960397; 0.97060847;0.97456264;0.96912467;0.80822343;0.97395539;0.9725008;0.97453582;0.972399;1.1033556;1.100866; 0.97426826;0.97214925;0.97047985;0.97300577;0.9740411;1.0986383;1.0997016;1.1046388;1.0923362;1.1046269; 1.102146;1.1064891;1.104543;1.102571;1.1037518;1.1031239;1.1055691;1.1055977;1.1066217;1.1050556; 0.97437584;0.96885836;0.97007251;0.97404504;0.9710561;0.96947491;0.5;0.96988761;0.97128582;0.96858394; 0.97080326;0.9651382;0.97414327;0.97451895;0.75544089;0.97337675;0.97456199;0.97450638;0.97421873;0.96988964; 0.97214317;0.9721266;0.97143281;0.97345638;0.97455013;0.96126491;0.97455108;0.97041631;0.9733336;0.97407961; 0.97454607;1.0697991;0.97418165;1.0956348;1.0992708;1.1013625;0.9692921;0.96894222;0.97342092;0.97294718; 1.0934594;0.97234094;1.0952464;1.0998929;1.1069715;0.97365201;0.96661341;0.5;0.5;0.6308285; 0.62970543;0.62822342;0.62520385;0.5;0.62505579;0.62892449;0.6294747;0.5;0.5;0.97184497; 1.2353809;1.0527134;0.62668025;0.63045913;1.0996825;1.0998483;1.2342963;0.62694877;0.63331574;1.1061279; 0.9195776;0.62637401;1.1883161;1.2296724;1.2204022;1.1020148;1.1070951;0.62782347;0.62667602;0.63217437; 1.1066427;1.2280341;1.0903158;1.0999945;1.1018997;1.2296782;0.63010859;0.62749177;1.2246742;0.62852848; 0.5;0.5;0.5;0.9741236;0.97394276;0.97403342;0.97456264;0.97431999;0.97408104;0.96991456; 0.97455925;1.1037999;0.97385055;0.97445816;0.97391546;0.97668654;0.97273636;0.97434771;0.97446871;0.97456264; 0.97452855;0.97030759;0.9744947;0.97452641;0.97364008;0.97373366;0.97456062;0.97456086;0.5;0.5; 1.1028323;1.0993121;1.1025814;1.1033018;1.1023965;1.1022177;1.0940918;1.0961871;1.1068038;1.1006533; 1.1059364;1.0861163;1.1011869;1.1087281;1.1027371;0.83917755;1.1028802;1.2341282;1.1085658;1.1042545; 1.10452;1.0997554;1.1032611;1.0991554;1.1075176;1.1054175;1.1001534;1.104323;1.1041855;1.1038209; 0.97404957;0.97361255;0.5;0.5;0.5;0.5;0.5;0.62516075;0.97338456;0.96904689; 0.97295773;0.97121441;0.97381979;0.96565348;1.1986831;1.1016161;1.1015818;0.63275051;0.62989843;0.63162035; 0.62676191;0.63153416;0.62604505;0.63185209;0.62952745;0.62530029;0.62795669;0.62594736;0.62824684;0.5; 0.62916529;1.222584;1.2275805;1.2260724;1.0084898;1.2088163;1.2272985;1.2279449;1.2298295;1.2319837; 1.0262208;1.2182248;1.2290212;0.63082814;1.2271249;1.2301526;1.2337006;1.2116687;1.237448;1.2290494; 1.228675;0.62902969;1.2269847;1.2306864;1.2252219;1.2319633;0.6302712;0.63178825;1.2182624;0.63072705; 0.97455287;0.97456264;0.97456324;1.1008797;1.1035515;0.97059309;0.97456264;0.97456229;0.97454363;0.5; 0.5;0.5;0.5;0.5;0.5;0.5;0.62804604;0.62646985;0.5;0.5; 0.97356844;0.5;0.5;1.0809282;0.5;0.5;0.5;0.5;1.070508;0.5; 1.1016202;1.095212;1.0992633;1.1033068;1.1009743;1.1041492;1.100109;1.0923991;1.0938066;1.0971771; 1.0994961;1.1036239;1.1020555;1.0983683;1.0954263;1.1034096;1.0992477;1.1019764;1.1047046;1.0919636; 1.1005483;1.1008227;1.0986235;1.1001041;1.107188;1.105962;1.1025132;1.0897288;1.0955116;1.0953119; 1.0978174;0.62863237;1.1021314;0.5;0.62913167;0.5;0.5;0.5;0.62954032;0.62718314; 0.63197529;0.6276719;0.5;0.6282599;0.62606859;0.6250816;0.63107651;0.62542838;0.62876147;0.63186097; 1.2423136;1.1202633;1.2400355;1.2356942;1.2472992;1.2351123;1.2463788;1.2342556;1.2486696;0.62957531; 0.6251781;1.2370125;1.2279348;1.2314579;1.2328913;1.2307703;1.2326925;1.234478;1.21856;1.2300727; 1.2330606;1.2337484;1.2328312;1.2286786;1.2299225;1.2067956;1.2344859;0.63008648;1.231632;1.2299023; 0.62611079;1.2290667;1.2411852;1.236726;1.2299093;1.2324321;1.2346675;1.2352232;1.2358;1.2380199; 0.97204661;0.97270805;0.96677583;0.5;0.96236652;0.9679054;0.96703929;0.97292829;0.96686965;0.97132587; 0.96949506;0.97165692;0.97141123;0.96467316;0.97305518;0.96740079;0.96889824;1.0752172;0.5;0.5; 0.5;0.5;0.5;0.5;0.5;0.5;0.5;0.63086021;0.5;0.5; 1.2321335;1.1067314;0.63409477;1.2305388;1.2305415;1.2291942;1.1005652;1.2316307;1.2373425;1.2321503; 1.2307281;1.0993075;0.5;1.2280229;1.2330118;0.78290826;1.2280166;1.2270157;0.63109899;1.231686; 0.62914813;1.228653;1.2355422;1.1058593;0.62622029;1.2283649;1.2283659;1.1013765;0.5;1.230974; 1.1054142;1.0990719;1.0978483;1.0995281;1.1025395;1.1053575;1.1000855;1.0983623;1.1025443;1.1068341; 1.1001893;1.0893747;1.1043042;1.0952151;1.0952232;1.096766;1.0772418;1.0862466;0.97431421;1.1068413; 1.1010647;1.1015655;1.1003647;1.095978;0.62849247;0.62839168;0.62903363;0.6327486;0.62592721;0.63020003; 1.2307224;1.2316434;1.2309946;1.2354861;1.2344882;0.62804437;1.2310958;0.63006747;1.2322421;1.2399702; 1.2349732;1.2291847;1.2305765;1.2354155;1.2342124;1.2318131;1.2399752;1.2324814;0.63279116;1.2345275; 1.2326958;1.2274578;1.2337077;1.2328753;1.2380407;1.2306752;1.2329288;1.2355864;1.2323422;0.62679571; 0.96939886;0.97283649;0.96792018;0.97193933;0.72725511;0.5;0.5;1.1034768;1.1018898;1.099879; 1.1021934;1.1004783;0.93755233;1.1014194;0.97230631;0.97203517;0.97367132;0.96810001;0.9694171;0.5; 0.5;0.5;0.5;0.5;0.5;0.5;0.5;0.5;0.5;0.83619177; 0.5;0.63080931;1.0997311;0.97410703;1.0780396;1.0953252;1.1027534;0.5;0.97434318;0.5; 0.97455752;0.96730679;0.97360325;0.96847904;0.97455192;0.5;0.64962769;0.62895089;0.62777519;0.63028646; 0.5;0.96967345;0.94686443;0.5;0.5;1.0693656;0.97365028;0.5;0.96856356;1.2204396; 0.97453117;0.97070301;0.97162724;1.1052527;1.1005758;0.97272652;1.1051494;1.1020679;1.105547;1.0913901; 0.96994287;0.97288418;0.97352362;0.97397107;0.97443509;1.104678;1.1008562;1.1049588;1.1055522;1.0958652; 1.1022644;1.0999238;1.0964867;1.1034014;1.1002312;0.9671514;1.0960953;0.9675864;1.100456;1.1020744; 1.0805981;0.5;0.5;1.0779569;0.5;0.5;0.5;0.62945068;0.5;0.5; 0.5;0.5;0.5;0.5;0.5;0.5;0.96760464;0.85193938;0.5;0.5; 0.5;0.5;1.0824192;0.5;0.5;0.5;0.5;0.5;0.5;0.5; 0.97144151;0.97124881;1.0663104;1.095705;0.97259808;0.97102439;0.63369703;1.1014435;1.0970162;1.0899382; 1.1038108;1.0992284;1.1023903;1.0992022;0.97247446;1.0994517;0.96580541;1.0961411;1.0983427;1.2354351; 1.2411652;1.1065607;1.1033366;0.97456139;0.97280031;0.96270645;0.9734844;0.96865439;1.1039764;1.0949454; 1.2354757;1.2345037;1.2344489;1.236737;1.2348979;1.2379019;1.2331355;1.2375548;1.2356477;1.2301106; 1.2352729;1.2366147;1.2327713;1.2363315;1.2368;1.2306082;1.2348402;1.2380211;0.62724954;1.2377553; 1.2339666;1.2381444;1.2331243;1.234575;1.2294819;1.2352269;1.2306249;1.2312417;1.2333894;1.2363142; 0.97033143;0.97089058;0.968436;0.97111225;1.1004599;1.1070087;1.229213;0.97275686;1.1063995;0.96892154; 1.2321849;1.2284958;1.1054727;0.97359931;1.102893;1.0981286;1.104037;1.0999254;0.96857417;1.1044972; 0.5;0.5;0.5;0.5;0.5;0.93445122;0.5;0.5;0.5;1.0948502; 0.97343671;1.1002829;1.0980194;1.228613;0.96542811;0.96919656;1.1039871;0.9713726;0.97355306;0.97453761; 0.9737947;1.0966574;1.0997044;0.97455168;0.97328866;1.1017282;1.100347;0.96933711;1.103887;1.0998297; 1.2364794;1.2355905;1.1002967;0.9737761;0.97448397;1.1009458;1.1021147;1.104084;1.0993805;1.1026015; 0.97308838;0.97455895;1.2359893;1.2341638;1.2137055;1.2309477;0.62767375;1.1016521;1.2278923;0.97453988; 1.236918;1.0958401;1.0988922;1.100785;0.97413069;1.1003175;0.97025239;0.97251755;0.97452313;0.97260314; 0.97399575;0.88924122;0.96988672;0.96836054;1.1033841;0.97057569;0.97324896;1.0970393;0.97433913;0.97227001; 0.62845629;0.5;0.5;0.5;1.2370355;0.62516844;0.5;1.2365174;1.0760258;0.5; 0.5;1.0970658;1.210784;0.63599354;0.5;0.95061284;0.83200991;0.85923254;0.9276799;0.62781322; 0.62695479;0.97258079;1.202847;0.5;0.63314968;0.5;0.5;0.5;0.62620181;0.65470201; 0.97455394;0.97456264;0.97455168;0.96888906;0.97098541;1.1059629;1.1023606;1.1026472;1.1036677;1.1029296; 1.0994991;1.1054707;0.62775517;0.63164759;0.5;0.5;0.5;0.729101;0.97439492;0.5; 0.5;0.5;0.5;0.5;0.9745003;0.97456264;0.97454274;0.85806698;1.1069822;1.1044242; 1.0933003;1.1023276;1.2304683;1.0992628;1.1009579;1.2371063;1.2324617;1.0920269;0.96997553;1.1053677; 1.2285786;0.96953297;0.96414709;1.1028969;1.1035438;1.2328138;1.0946413;1.099449;1.1029215;0.97444528; 0.9641335;1.2323287;1.0998917;1.1057179;0.96996105;1.0967305;1.2337495;0.97345728;1.2293401;1.0997796; 0.97455645;0.5;0.65432245;0.5;0.5;0.9743126;0.97423941;0.97375131;0.97455043;0.97382951; 0.96976614;0.97074127;1.1021863;1.1033529;0.97242594;0.97138929;0.97070026;1.0998297;1.1036007;0.9729445; 1.102827;0.97009611;1.1046636;1.101172;0.97455406;0.97450912;0.96821779;0.97418338;0.97043073;0.97377753; 1.1020075;1.1024833;0.94371283;1.1006842;0.63131613;0.62725174;1.1009648;1.0733982;1.101868;1.0963001; 1.2074686;1.1004796;1.1058435;0.79120648;1.1048441;0.76863945;1.0963342;1.0905168;1.096083;1.1018856; 0.62523836;1.095845;1.0411956;1.0927064;0.85832715;0.62590051;1.0964111;0.62862432;1.1011529;1.058372; 0.97270793;0.97211528;0.97350156;0.96660495;0.97198224;0.96656334;1.0992397;1.2312591;1.2344066;1.2321942; 1.23072;0.62574351;0.96894121;0.97333723;0.96721208;1.1013212;0.96581173;1.088727;1.0971825;1.0984087; 1.0993915;1.2289374;0.9703021;1.232318;0.96965927;1.0999112;1.1055872;0.97240531;0.96563357;1.0999491; 0.62712038;0.62804019;0.63074321;0.63056266;0.62902844;0.6315766;1.2357454;1.2328761;0.63008416;1.228665; 0.62859404;1.2342639;1.2306192;1.1042888;0.62712777;0.63120824;1.2353184;0.62911445;0.97339392;0.87175405; 0.62717879;0.63022995;0.63020217;1.091784;1.2341884;1.2301502;1.1068997;0.6308803;1.2308633;0.63216555; 0.97455752;0.97456264;0.5;1.2192106;0.97456324;0.99851131;0.97456264;1.1034184;0.97367382;1.0998665; 1.1044351;1.1275229;1.2564336;1.1220138;0.97456211;0.99062628;1.1005199;0.62548;0.87204134;1.2494299; 1.2193528;0.63310468;0.49898645;1.110562;0.5;1.1230069;0.99362051;1.2390516;1.1175091;1.1059159; 1.1060629;1.2576489;1.1011971;1.2408848;1.1059864;1.2386899;1.0988264;0.62534773;1.2395408;1.2299742; 1.0974255;1.2531695;1.1007336;1.1046095;1.2346369;1.1038564;1.1067427;1.2383746;1.2304518;1.2336431; 1.2373161;0.62823784;1.246923;1.1126609;0.97300023;1.1041696;1.1039033;1.246506;1.2328713;1.2305043; 0.97303498;0.96660101;0.96370101;1.1045871;0.97131312;1.1018507;0.96050334;0.97180462;0.98104203;0.97023624; 0.97429574;0.97449005;0.97484362;1.0913754;1.1021378;1.1037862;1.232204;1.1020806;1.1036429;1.1021688; 1.102829;0.97356105;0.47380292;0.96480417;0.96202838;1.2330842;1.2329607;1.1021652;1.2361971;1.2307253; 1.2191192;1.2242277;1.232374;1.2322769;1.0967742;1.225374;0.62698883;0;0.59240055;0.62504929; 1.2217535;1.0945327;1.0939655;0.59974486;1.0975845;0.59382701;1.2183656;1.2048366;0.62576568;0.84754145; 0.58882648;0.74295598;0.59502006;1.2296298;1.2223387;1.0890472;0.5;0.5;0.82062411;0.62804013; 0.97454739;0.97426069;0.9743861;0.97439647;0.97303474;0.5;0.5;0.5;1.0910081;0.63244307; 0.5;0.5;0.5;0.62957698;0.5;0.5;0.5;0.5;0.5;0.97422194; 0.97151864;0.96325183;0.63282388;0.62592077;0.63058972;0.5;0.5;0.66936713;0.5;1.1068174; 1.10094;1.2289615;0.97100091;1.1008995;1.2317976;1.1062143;0.9661231;1.1022348;1.2330576;1.2308588; 1.2320988;1.2368044;1.0976008;1.1012371;1.2337096;1.0981739;1.2293286;1.232368;1.2331119;0.62759048; 0.97440398;1.2379103;1.0997524;1.2333345;1.2397283;1.2299941;1.2293673;1.2377942;1.1049428;1.10062; 1.1052232;1.1007177;1.1002264;0.65478921;0.5;1.1067185;0.97145736;1.1059731;0.97456139;0.97409654; 0.97428399;0.97455084;0.96725047;1.1034675;0.97400522;1.1055961;0.97425443;0.97165906;0.97318321;0.95717478; 1.1017489;1.1024976;0.97254479;0.97200137;0.97397393;0.97404313;0.97284818;1.1033685;0.96925235;0.96676469; 0.62915552;0.62734294;0.5;0.5;0.5;0.5;0.63156718;0.62646979;0.63007963;0.5; 0.63316274;0.62589699;0.62724125;0.6305896;0.62954438;0.63343215;0.62981325;0.62652081;0.62878287;0.62500966; 0.5;0.625745;0.62641048;0.62778676;0.62586248;0.62886131;0.62940991;0.62846208;0.5;1.2078201; 0.96611136;0.97239953;0.97181892;0.97449982;1.1038957;1.1033932;1.099932;0.97287452;0.97456139;0.97442746; 1.1047041;0.9724955;0.97446418;0.97385085;0.97405159;0.5;0.5;0.5;0.5;0.5; 0.62652618;0.63031304;0.63187605;0.63178587;0.5;0.63131809;0.6312393;0.63008446;0.62841547;0.62842876; 0.62903219;0.59604543;0.59231597;0.5870043;1.2223489;0.59236348;1.22474;0.58931535;0.41810051;1.2151849; 1.2250166;1.2092757;1.2245803;1.2236094;0.586353;1.2125925;0.58530539;0.63223958;0.59381473;0.58778989; 1.2208354;1.2183843;0.59462559;0.62681735;1.2226334;1.2257762;1.212262;1.2243209;0.5926199;1.2148523; 0.97453368;0.97352374;0.97204268;0.97449493;0.9737618;0.5;0.5;0.63152558;1.0964162;0.63113314; 0.63265955;0.63054824;0.62806863;0.5;0.63005006;0.63109231;0.63024271;0.77133918;1.107082;1.1002868; 1.1063099;0.62544858;0.63208044;0.62832093;1.0991368;1.0907576;0.97400051;0.97456211;0.97222292;1.1042194; 1.1034814;1.2384846;1.231498;1.1058425;1.0955217;1.1019442;1.2297205;1.0951711;1.1056846;1.1020763; 0.63286674;1.1025329;0.63118148;0.76699364;0.62726349;0.98045146;0.5;1.1047349;0.63046783;1.1092863; 1.0992415;1.1000875;0.6311686;1.09946;1.1049206;1.0964885;1.227432;1.2298814;0.97252119;1.0923687; 0.97456264;0.97454894;0.97451156;0.97456264;1.1013751;0.97365534;0.97122496;1.1061571;1.2397819;0.5; 1.1043963;1.1044611;0.97456169;0.97456264;0.97456264;0.97451156;0.97424686;1.1057554;1.1068879;1.1026058; 1.1039159;1.1023942;1.0999658;1.1062589;1.1048239;0.63181275;0.63175595;0.62838632;0.6266554;0.62889385; 1.100209;0.62578571;1.1048133;0.630934;1.1012675;0.63165444;1.2284207;1.0959591;1.0988694;0.63021708; 0.6327821;1.1062462;1.103754;1.1018947;1.1056333;1.2311895;1.1033098;1.1023428;1.2382641;0.63294041; 1.0906017;1.0969326;1.0960662;1.0864246;1.1025083;1.0982752;1.0995779;1.0997813;0.62553209;1.097465; 0.97456264;0.97456264;0.97273529;0.97453195;0.94110739;1.1033264;1.1032931;0.81321019;1.1029041;1.104183; 1.1009712;1.1013575;1.1044996;1.098222;1.1046779;1.1042767;1.1063448;1.0965049;1.1021062;1.1013643; 1.1009538;1.1009181;1.1049633;1.100929;1.076882;1.1068826;0.63093734;0.62648642;0.6264832;0.5; 0.75105405;1.2304432;1.2331905;1.1018207;1.2398859;1.2280802;1.0995926;0.63232452;1.1048977;1.2322049; 1.1045163;0.79114568;1.1021218;0.76565731;1.0992774;0.62990111;1.101211;1.2336316;0.97454333;0.97456211; 1.1045196;0.63135052;0.62865376;1.0946494;0.77071637;1.2310979;0.62986577;1.1008887;1.2367736;1.2326257; 0.97379398;0.9745611;1.1000284;0.97456098;0.97389328;0.97331929;0.9661783;0.97373366;0.97080171;0.97455895; 0.97456264;0.76050627;0.96448231;0.5;0.5;0.5;0.5;0.5;0.5;0.5; 0.5;0.5;0.76983666;0.5;1.1041498;0.62641168;0.62638128;0.5;0.6273632;1.0996004; 1.2309355;0.63071895;0.62772501;0.62819165;0.62931979;1.2305684;0.62934214;1.2282782;0.62754405;0.80585402; 0.62691498;1.2340622;1.2164226;1.2307174;1.2350004;1.2315648;0.62801105;1.2337488;0.62636405;0.62515837; 1.232801;1.1052506;0.62660664;1.2278959;1.2185347;1.2319059;1.1012332;1.2312114;1.2357752;0.6327455; 0.97455168;0.9669019;0.96663952;0.97432244;0.97212738;1.1001828;0.96466172;0.96407115;0.9738673;0.9711147; 0.97294247;0.96328038;0.97159886;0.96450853;0.96734792;0.97134888;0.97429442;0.97236729;0.96920884;0.97084725; 0.96401161;0.97444022;0.96930492;0.97345471;0.97448486;0.9731847;0.95900011;0.96569443;0.97332525;0.96934831; 0.97456199;0.5;1.1026202;0.5;1.100366;1.1030498;1.1028823;1.1084127;1.2349316;1.0999852; 1.1065416;1.1014533;1.0866081;0.9742232;0.97456199;1.1015949;0.97436106;1.2325382;1.2313988;1.1058768; 0.97099411;0.9745546;1.1004597;1.1039934;0.97456264;0.5;0.9730643;1.0996099;0.97455573;0.97456002; 1.1051466;1.1026275;0.97376466;0.97365677;0.97455406;1.1032803;1.1061944;0.97425514;0.9740721;0.97239661; 0.97422123;0.97455776;0.97270358;0.97284818;0.97329152;0.97111499;0.97450709;1.1011484;1.093262;1.0990831; 1.0978163;1.1004956;1.1062548;1.1010814;1.0981662;1.1035265;1.103356;1.0970641;1.1047353;1.1001785; 1.1765001;1.2157898;1.2283671;1.2293458;1.1871462;1.2306691;0.80088574;1.2288597;1.1830215;0.6312024; 0.63211155;1.2306874;1.2342484;1.2274503;1.2276973;1.2361627;1.2115488;1.2303143;0.63170958;1.2230484; 1.2361283;1.2349247;1.2289436;1.2338388;1.2037115;1.2364893;1.2322323;1.2363174;0.62855011;1.2311665; 0.97456264;1.1020055;0.97456264;1.1046376;0.5;0.5;1.0152194;0.63300419;0.62928724;0.62681782; 1.0801616;0.5;0.5;0.5;0.5;0.97456264;0.5;0.89205575;0.97456264;1.100726; 0.97456264;0.5;0.97456264;0.5;0.5;0.5;0.5;0.5;0.5;0.5; 1.1019356;1.1030523;1.1001344;1.1060228;1.1020766;1.096844;1.0894086;1.0984851;1.0988585;1.1028792; 1.0998259;1.1022311;1.0934732;1.0982447;1.1031845;1.1085849;1.1010599;1.0986408;1.102643;1.0985668; 1.1031948;1.09802;1.1065445;1.1060505;1.0941023;1.1019498;1.1006365;1.1039939;1.0980403;1.0977354; 0.97431922;0.97456324;0.97456264;0.97447866;0.97425699;0.97372127;0.96754086;0.97403544;0.97378939;0.88314515; 1.093964;0.97007269;0.97062075;0.97287583;0.97343707;0.97440815;0.9734242;0.97208977;0.97426927;0.97433466; 0.97455835;0.97405231;0.97456264;0.97418803;0.97183728;0.97451603;0.96948671;0.97450209;0.97444773;0.97450006; 1.0966578;0.95982206;1.0971876;0.97456264;0.96508074;0.97456038;0.96796596;0.97237027;0.97183228;0.96004176; 0.97346306;0.97387612;1.0981569;0.97384572;1.0972328;0.96840531;0.97411859;1.0969379;1.1004777;1.0877037; 1.0949364;0.97140861;0.96503985;0.96247625;0.97411728;0.97106993;0.97154605;0.96855855;0.97448111;0.94313383; 0.96904314;0.97030199;1.0985168;0.96981847;1.1056834;1.1050141;1.1009709;1.2341235;1.2325342;1.2331185; 1.1013131;1.1071873;1.0989289;1.0980123;1.1051806;1.0999742;1.0977319;1.1005046;1.1053059;1.1038904; 1.1051823;1.1035749;1.110184;1.0985094;1.0942731;1.2321172;1.1054877;0.96727645;1.1019391;0.97361594; 1.2296689;0.97282851;1.1020367;0.5;1.1001959;1.0996085;1.0953712;1.0947021;0.97265613;0.6297437; 0.97373629;0.5;1.0950314;1.1008155;1.0995457;1.1069094;0.96326625;0.5;0.5;0.96988153; 1.1021217;0.97218788;0.62967831;0.5;0.74168986;0.97126651;0.62691319;0.97069001;0.96689862;0.5; 0.96919692;0.97456062;0.97451246;0.9705255;0.97031331;0.97443688;0.97430265;1.1050299;1.1041667;1.1005821; 1.1012396;0.97275978;1.1022105;1.0999525;1.1045051;1.1077325;1.1061164;1.1052072;0.97435355;1.1041362; 0.97175407;0.97010833;0.97147393;0.97332978;0.96767586;0.5;0.97319055;0.96896863;0.5;0.96780258; 1.1010916;1.0987785;1.102942;1.1019828;1.0995066;1.0999855;1.091831;1.2325807;1.2272494;0.62968385; 0.77616769;1.2293088;0.86816078;0.75762999;1.100096;1.2348206;1.1016736;1.1000553;1.1009426;1.2313576; 1.1007583;1.1008446;1.1045055;1.0916331;1.101401;0.90987033;1.1022372;1.1005628;1.1049781;1.1018347; 0.95773792;0.97067297;0.96271449;0.97426599;0.96699959;0.97455215;1.1002587;1.1034926;1.1066813;1.1032137; 1.2302458;1.1024548;1.1058116;1.2315204;1.2363112;1.1045318;1.0993884;1.1046666;1.2307342;1.1012863; 0.96649301;0.96854824;0.96978354;0.96973109;0.97067595;0.97430146;0.96913159;0.96458924;0.96545494;1.095284; 0.63037896;0.5;0.9744122;0.63161999;1.104897;0.97401941;0.6251027;0.5;0.9739871;1.1058455; 0.62572986;1.1000072;1.0992367;0.63755745;0.97204053;0.62753415;0.97235262;0.5;0.96851522;1.1068438; 0.97427356;1.2346702;1.1044469;1.1027566;0.5;1.1065547;0.97187489;1.1034578;1.1042336;0.6314317; 0.973952;0.96630049;0.96916687;0.5;0.5;0.5;0.5;0.5;0.73586732;0.5; 0.5;0.96766627;0.5;0.5;0.5;0.5;0.5;0.5;0.6275512;0.62592387; 0.5;0.5;0.63136637;0.62792802;1.1138563;1.1006142;1.234252;1.2336214;1.1246502;1.0990381; 1.0956134;0.62668395;1.1051888;0.6326974;0.6285128;1.0969697;0.63157815;0.96180874;1.103593;0.76011461; 0.63212937;1.0279572;1.2067423;0.83792114;1.103303;1.0985756;0.79934281;1.1028377;1.1020739;0.63006628; 0.63021392;1.0987675;1.0779088;1.232556;1.2035612;1.0909507;0.63080847;1.2273927;0.5;0.90001893; 0.97368675;0.5;0.97118235;0.96452367;1.1020212;1.1024327;1.1016335;1.0997237;0.96996701;0.80939412; 0.62778479;0.63031054;0.62957424;1.2195992;0.9262228;0.9728204;0.97393298;0.97310811;0.9711467;0.94328022; 0.62905544;0.62592459;0.63014448;0.5;0.5;0.5;0.62753201;0.63197565;0.6340872;0.63163245; 1.2359917;1.2338561;1.2417789;1.2326686;0.62610841;1.2369386;1.2575388;0.62573713;0.62852049;1.2360017; 1.1679227;1.2583477;1.2577128;1.2584777;1.2416453;0.62977403;1.2368215;0.62762308;1.2480831;1.2548937; 1.2296799;0.62871748;1.2565601;1.2415346;1.2530537;1.2541171;0.62729096;0.63070756;0.62665308;0.63050759; 0.97350717;0.9722544;0.97455359;0.97427773;0.97316301;1.1005012;0.9717201;0.92284471;0.90993297;0.64066178; 0.5;0.5;0.97058749;0.5;0.5;0.67727202;0.5;0.5;1.0819573;0.5; 0.62970984;0.5;0.5;0.96116197;0.97321075;0.97198492;0.5;0.62723058;1.098998;0.5; 1.2133206;0.63007849;0.62596536;1.0935522;0.969423;0.63259447;0.62818652;1.2114074;1.2126578;0.5; 0.62888825;0.62882912;0.62538129;1.2113385;0.62638527;1.1052747;0.62618566;1.0261523;0.63103426;0.5; 0.63035417;0.63026965;0.63278383;0.62841576;1.043581;0.62951845;0.63107878;1.1024133;1.0931484;1.2178638; }; }; float_Data @["cnt_err"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_84"; el_typ=UserDataItem; el_def=0; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1166077703237534; val_type_fixed=0; }; }; name="cnt_err"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [3000] 2;2;2;1;1;1;1;1;1;1; 1;1;1;1;1;2;1;1;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;1;2;1;2;2; 1;1;2;1;2;1;2;2;2;1; 2;2;2;1;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;1;2;1;1;1;1;1;1;2; 2;1;1;1;1;1;2;1;1;1; 2;2;2;2;2;2;2;1;1;2; 2;2;2;2;2;1;2;2;2;2; 2;2;2;2;2;2;1;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;1;1;1;1;1;1;1;1;1; 1;1;1;1;1;1;1;1;1;1; 1;2;2;2;1;1;2;1;2;1; 2;2;1;2;2;2;2;1;2;2; 1;2;2;1;1;1;2;2;2;1; 2;1;1;1;2;2;2;2;1;1; 2;1;1;1;1;1;1;1;1;1; 1;1;1;2;2;2;2;2;2;2; 2;1;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;1;2;2;2;2; 2;2;2;2;2;2;2;2;2;1; 1;1;1;1;1;2;2;2;2;1; 1;1;1;1;1;2;2;2;1;2; 2;2;2;2;2;2;2;2;2;2; 1;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;1; 1;2;1;1;1;1;1;1;1;1; 2;2;2;2;2;2;1;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;1;2;2;2;2;2;2;2; 2;2;2;1;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;1;2;1;1;1;1;1;1;1; 1;1;2;2;2;1;1;1;2;2; 1;1;1;2;1;1;2;1;1;1; 1;1;1;1;2;1;1;2;1;1; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;1; 1;1;1;1;1;1;1;1;1;1; 2;2;2;2;2;2;1;2;2;2; 1;2;2;2;2;2;2;2;2;2; 2;2;2;1;1;2;2;2;2;2; 2;1;2;1;2;1;2;1;2;1; 2;1;1;1;1;1;2;1;1;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;1;2;2;2;2;2;2; 1;2;2;2;2;1;2;2;1;2; 2;1;2;1;2;2;2;2;1;2; 2;2;2;2;2;2;1;2;2;1; 1;1;1;1;2;1;1;1;1;1; 1;1;2;2;1;2;2;2;1;1; 1;1;2;2;2;2;2;2;2;2; 2;1;2;2;2;2;2;2;2;2; 1;2;2;1;1;1;2;2;2;1; 2;1;1;1;1;1;1;1;2;2; 1;1;2;1;1;1;1;2;2;2; 2;2;2;2;2;2;2;2;1;1; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;1;1;1;1;2;1; 1;1;1;1;1;1;1;1;1;1; 1;1;1;1;1;2;1;1;2;1; 2;2;2;2;2;1;2;2;2;2; 2;2;1;2;2;1;2;2;1;2; 2;1;2;2;1;2;2;2;2;1; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;1;1;1;1;1;0;0; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;1;1; 2;2;2;2;2;1;2;2;1;2; 2;2;2;2;1;2;1;2;2;2; 2;2;1;2;2;2;2;2;1;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 1;1;1;1;1;1;1;1;1;1; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;1;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;1;1;1; 1;1;1;1;1;1;1;1;1;2; 2;2;1;1;2;2;2;1;1;2; 2;1;2;2;2;2;2;1;1;1; 2;2;2;2;2;2;1;1;2;1; 1;1;1;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;1;1; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;1;1;1;1;1;1;2;2; 2;2;2;2;2;2;2;1;1;1; 1;1;1;1;1;1;1;1;1;1; 1;2;2;2;2;2;2;2;2;2; 2;2;2;1;2;2;2;2;2;2; 2;1;2;2;2;2;1;1;2;1; 2;2;2;2;2;2;2;2;2;1; 1;1;1;1;1;1;1;1;1;1; 2;1;1;2;1;1;1;1;2;1; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;1;2;1;1;1;1;1;1;1; 1;1;1;1;1;1;1;1;1;1; 2;2;2;2;2;2;2;2;2;1; 1;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;1;2;2; 1;2;2;2;2;2;2;2;2;2; 2;2;2;1;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;1;1; 1;1;1;1;1;1;1;1;1;1; 2;2;1;2;2;2;2;2;2;2; 2;2;1;2;2;2;2;2;1;2; 1;2;2;2;1;2;2;2;1;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;1;1;1;1;1;1; 2;2;2;2;2;1;2;1;2;2; 2;2;2;2;2;2;2;2;1;2; 2;2;2;2;2;2;2;2;2;1; 2;2;2;2;2;1;1;2;2;2; 2;2;2;2;2;2;2;2;2;1; 1;1;1;1;1;1;1;1;1;2; 1;1;2;2;2;2;2;1;2;1; 2;2;2;2;2;1;2;1;1;2; 1;2;2;1;1;2;2;1;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;1;1;2;1;1;1;1;1;1; 1;1;1;1;1;1;2;2;1;1; 1;1;2;1;1;1;1;1;1;1; 2;2;2;2;2;2;1;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;1;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 1;1;1;1;1;2;1;1;1;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;1;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 1;1;1;1;2;1;1;2;2;1; 1;2;2;2;1;2;2;2;2;1; 1;2;2;1;1;1;1;1;1;2; 2;2;2;2;2;2;2;2;2;2; 2;2;1;1;1;1;1;2;2;1; 1;1;1;1;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;1;2;1;1;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;1;1;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 1;2;2;2;2;1;2;1;2;2; 2;2;2;2;2;2;2;2;2;2; 2;1;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 1;1;1;1;1;1;2;2;1;2; 1;2;2;2;1;1;2;1;2;2; 1;1;1;2;2;2;2;1;2;1; 2;2;1;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;1;2;2; 2;1;1;2;1;2;2;2;2;2; 2;2;2;2;2;2;2;1;2;2; 2;2;2;2;2;2;2;2;2;2; 2;1;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;1;2;2;2;2;2;2;2; 2;2;2;2;2;2;1;0;1;1; 2;2;2;1;2;1;2;2;1;2; 1;2;1;2;2;2;1;1;2;1; 2;2;2;2;2;1;1;1;2;1; 1;1;1;1;1;1;1;1;1;2; 2;2;1;1;1;1;1;2;1;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;1; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;1;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 1;1;1;1;1;1;1;1;1;1; 1;1;1;1;1;1;1;1;1;1; 1;1;1;1;1;1;1;1;1;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;1;1;1;1;1; 1;1;1;1;1;1;1;1;1;1; 1;1;1;1;2;1;2;1;1;2; 2;2;2;2;1;2;1;1;1;1; 2;2;1;1;2;2;2;2;1;2; 2;2;2;2;2;1;1;1;2;1; 1;1;1;1;1;1;1;2;2;2; 2;1;1;1;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 1;2;1;2;1;2;1;2;1;2; 2;2;1;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;1; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;1;1;1;1;1; 2;1;2;1;2;1;2;2;2;1; 1;2;2;2;2;2;2;2;2;1; 2;2;2;2;2;2;2;2;1;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;1;1;1;1; 2;2;2;2;2;2;2;1;2;2; 2;2;2;2;2;1;2;2;2;2; 2;1;1;2;2;2;1;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;1;1;1;1;1;1;1; 1;1;2;1;2;1;1;1;1;2; 2;1;1;1;1;2;1;2;1;2; 1;2;2;2;2;2;1;2;1;1; 2;2;1;2;2;2;2;2;2;1; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;1;2;1;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;1;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;1; 1;2;2;2;2;2;2;2;1;2; 2;2;2;2;2;2;2;2;1;2; 2;2;2;2;1;1;2;1;1;1; 2;1;1;1;1;2;1;2;2;2; 2;1;2;1;1;1;1;1;1;1; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;1;2;2;2;2;2;1; 2;1;2;2;2;2;2;1;1;2; 2;2;1;1;2;2;1;2;2;1; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;1;2;2;1;2; 2;2;2;2;2;2;2;2;2;1; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 2;2;2;2;2;2;2;2;2;2; 1;1;2;1;2;2;1;1;2;2; 1;2;2;2;2;1;2;1;2;2; 2;2;2;2;1;2;2;2;2;1; 2;2;2;1;1;1;1;1;2;1; 1;2;1;1;1;1;1;1;1;1; 1;1;1;1;2;2;2;2;2;2; 2;1;2;1;1;2;1;2;2;2; 1;2;2;2;2;2;2;2;2;1; 1;2;2;2;2;2;1;2;1;2; 2;1;2;2;2;2;2;2;2;2; 1;1;1;2;2;2;2;2;2;2; 1;1;1;1;1;1;1;1;1;1; 2;2;2;2;1;2;2;1;1;2; 2;2;2;2;2;1;2;1;2;2; 2;1;2;2;2;2;1;1;1;1; 2;2;2;2;2;2;2;2;2;2; 1;1;2;1;1;2;1;1;2;1; 1;1;1;2;2;2;1;1;2;1; 2;1;1;2;2;1;1;2;2;1; 1;1;1;2;1;2;1;2;1;1; 1;1;1;1;2;1;1;2;2;2; }; }; float_Data @["avg_ext_rew"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_85"; el_typ=UserDataItem; el_def=0; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1166077703237534; val_type_fixed=0; }; }; name="avg_ext_rew"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [3000] 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; }; }; float_Data @["avg_cycles"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_86"; el_typ=UserDataItem; el_def=0; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1166077703237534; val_type_fixed=0; }; }; name="avg_cycles"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [3000] 150;150;150;93.5;84.5;82;88.5;66;111.5;107.5; 77.5;87.5;112;79;72.5;111.5;85.5;76;105.5;107; 123.5;144.5;108.5;116;116;131;115;114.5;133;130; 138;74.5;77;60;86.5;92.5;78;88.5;76;78; 137;101.5;125;79.5;86.5;71.5;125;97.5;104.5;110; 66.5;98;72.5;80;65.5;94;96.5;103.5;75;105.5; 150;150;150;150;150;113;112;149.5;150;149; 123.5;82;150;87.5;115.5;106;110;92;145.5;132; 115;80;78;65.5;84.5;76.5;118.5;76;68;68; 93;89.5;90;94;113;78.5;135;140;115.5;112.5; 113;120.5;91.5;81.5;109.5;92.5;73.5;117.5;85.5;90.5; 112;114;104.5;91;112.5;81;104;112.5;112;121.5; 150;150;150;150;150;150;121;142.5;150;112.5; 110.5;85.5;80;70;76;117;107.5;71;66;64.5; 64.5;72.5;83;96;107.5;110;105;106.5;98;119.5; 80;68;66;62;86;71;67.5;75.5;78;76; 73.5;82.5;69;63;69;67;118;71.5;80;59; 80.5;85.5;62.5;97;98.5;74.5;124.5;61.5;106;86; 119.5;136.5;140.5;141.5;150;140.5;150;119.5;91.5;82.5; 120.5;109;78;60;71.5;82;76;92.5;69;69.5; 81.5;84;81.5;107.5;93;105;106;108.5;109;116; 68.5;90.5;106.5;63.5;103.5;81;107.5;105;103;70; 108;55.5;100;76.5;114;83;81.5;65.5;75.5;101; 104.5;110;103.5;66;76;77;56;76.5;94.5;105; 150;150;150;150;150;120.5;107.5;110;114.5;80.5; 108;84.5;91.5;94;135.5;114.5;114.5;107.5;115;111.5; 84.5;107;75.5;82.5;111;150;150;150;117.5;150; 131;108.5;121.5;117;114.5;139.5;122.5;106.5;111;118; 105.5;110;110;110;118.5;82.5;85;143;113;137; 113.5;100;116.5;78.5;105;116;119;129.5;93;113; 150;150;150;150;150;150;150;150;150;112.5; 124.5;106;115;150;109;110;110.5;109.5;108.5;134; 115;150;113;115.5;107;106;107.5;78;74;72.5; 150;109.5;129.5;87;109;124;129;111;119.5;150; 73;111.5;118;126.5;102.5;69;86.5;134.5;111;131.5; 108;115.5;105.5;110;73;115;119.5;112;141.5;112; 150;150;150;144.5;149;150;150;140;150;150; 130;150;150;150;90;70;103.5;108;110;107; 102;74.5;82;65.5;81;75.5;74;104.5;89.5;75.5; 75;71.5;83.5;100;76;67.5;78.5;71;84;104.5; 70;75.5;68.5;84;68.5;84;78.5;75.5;78;69.5; 77;72.5;70;76.5;90.5;77.5;76.5;74.5;91;75.5; 150;150;150;150;126.5;150;150;137.5;150;150; 150;150;150;150;115;150;150;150;150;112; 116.5;109.5;107;109;106;106.5;105.5;107;106;109; 105.5;110.5;106;104;106.5;106.5;91.5;103.5;105;108.5; 99;106.5;106.5;108;109.5;107.5;112;107.5;108.5;108; 104.5;108.5;108.5;102;90.5;108.5;107.5;104.5;109;111; 150;133;150;114;131;112.5;123;107;144.5;114; 125.5;113;107.5;75;114;113;150;107;114;150; 150;150;150;150;150;150;150;150;150;150; 150;150;118;137.5;121.5;112.5;150;124.5;139.5;131.5; 118;150;120.5;122;138;124.5;143.5;150;107.5;117; 137.5;137;120.5;113;123.5;150;150;112.5;126.5;150; 113.5;150;150;150;114.5;150;129.5;150;116;82; 78;88.5;115.5;62;118;83;84;87;96;96; 93;104;119.5;118;129;100.5;134.5;150;116.5;112; 83.5;117.5;84;84.5;79.5;74.5;73;93;70.5;128.5; 102;74.5;73;70.5;127.5;103.5;88.5;75;86;76; 75.5;74;85.5;75;73.5;113;76;90;63;114; 150;110.5;71.5;116.5;112;117;120.5;118.5;150;150; 119.5;115.5;150;104;109.5;109.5;115;150;150;150; 150;150;150;150;150;150;150;150;126;117.5; 94.5;115.5;107.5;76.5;91.5;105.5;74.5;114;112.5;109; 108;123;114;108;111;86;114.5;100.5;122;132.5; 111.5;80;107.5;78;98;90;72;75.5;85;108.5; 150;150;150;150;118;147;117;129.5;150;111; 110;113.5;108;109.5;117.5;116;125;113;91;120; 91.5;92;126;127;130;150;119;130.5;150;120; 90.5;102;101.5;77;100;100;79.5;83;102;101.5; 101;102;100.5;102;75.5;86.5;101.5;90;85;70; 72;82;101;86.5;91.5;101.5;101;66.5;102;96.5; 134.5;99;98.5;98;119.5;137;120;137;118;119.5; 98;150;150;137;150;98;98;97.5;97.5;98; 98.5;98;98.5;58.5;55;61;62.5;57;95;96; 62.5;47;48;69;64;76;109;61;47;66; 94;98.5;109;47.5;68;47;65.5;47.5;96;125; 46.5;62;98.5;47.5;53.5;99.5;48.5;119.5;63.5;46.5; 150;150;150;150;150;131;100;132.5;99.5;100; 119.5;136.5;132.5;119.5;112.5;119;83.5;134.5;150;140; 130;134;99.5;143;117.5;138.5;133.5;136;106.5;57; 115;64;119;115.5;100;82;117;66;84.5;64; 115.5;62;121;109.5;70;94;103.5;114.5;111;114.5; 118;82;84;100;116.5;66;134.5;118.5;87;68; 150;150;150;150;150;150;150;150;150;120; 113.5;150;119;115;116;113.5;150;150;150;150; 123;112.5;127;109;104;105;106.5;105;105.5;108.5; 110.5;115.5;108.5;126.5;129;146;112.5;111.5;112.5;110.5; 107;131;106.5;119.5;112;110.5;116;113.5;113;107; 106;111.5;126.5;107.5;117.5;111;109.5;109;112.5;134.5; 150;150;150;150;150;150;150;150;118.5;114.5; 150;150;150;150;150;134.5;107;120;111;114.5; 107.5;128;118.5;112.5;108;111.5;107;104.5;113;118; 150;150;150;150;150;150;144;150;150;150; 150;150;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;150; 150;144.5;150;118;144;139;150;150;150;150; 129.5;150;124;127;127.5;150;150;116.5;107;88.5; 85.5;107;104;103.5;74;71.5;78;111;114.5;150; 90.5;109;74.5;73;106.5;110.5;78;75.5;72;109.5; 112;71.5;91;94;99.5;110.5;111;75;99.5;83.5; 103.5;95;110;105.5;103;87;73;108.5;87.5;76; 145;131;128;150;150;150;150;150;150;150; 150;120.5;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;150;145;117.5; 109;108.5;106;109;106.5;110;112;105;108.5;109.5; 106;106;114.5;115.5;109.5;107;110;104;107;108; 105.5;106;105.5;108;106;106.5;108;105;106.5;107; 150;150;108.5;105;118.5;112;109;106;150;150; 150;150;150;150;94;106.5;104;64;67.5;62.5; 65;69;66;68.5;69.5;72;75;73.5;111.5;113; 87.5;64.5;71.5;62.5;101;92;60;64;62;64; 118;59.5;66;93.5;81.5;60.5;61.5;89.5;62;62; 92;87;64;65;80.5;89;83.5;82.5;67.5;90; 150;150;150;144;115.5;150;150;150;150;114.5; 114;114;109;106.5;114;113;68.5;89.5;117;130; 150;118.5;119.5;134;122.5;110.5;117.5;113;137.5;133.5; 111.5;113;107.5;110.5;107;118;108.5;103.5;108.5;112.5; 109;110.5;112.5;113;110;110.5;108;117.5;107.5;109.5; 113;107.5;114.5;112;112.5;126;108;109.5;106;110; 111.5;70.5;132.5;109.5;99;108.5;112;114;88.5;96.5; 107;109.5;119;101.5;97.5;101;108;100;102.5;90; 105.5;136;115;124.5;121;126;123.5;94;82.5;115; 85;99.5;102;81;61;80.5;72.5;75;108;91; 100;78;92;81;72;94.5;98;95;70.5;76; 70.5;70;80;94;74.5;65.5;75;66.5;66.5;70.5; 150;150;150;131.5;150;150;150;150;150;150; 150;150;150;150;150;150;150;147;118;109; 116;100;99.5;101;101;101.5;102;101.5;106.5;105; 119.5;120.5;101.5;90.5;91.5;91;115.5;84;91;126; 81;123;115;110;117;112;77;80;87.5;124; 86;70.5;80.5;114.5;88.5;101;91;118.5;116.5;108.5; 113;113.5;113.5;114;115;116.5;115;116;118;117; 116;118;118;117;118.5;132.5;117.5;138.5;150;99; 99;99;99.5;98.5;68.5;65;62.5;67;68.5;85; 59;60;78;79;97;68.5;105;99.5;79.5;87.5; 109;95.5;64;90.5;72;98;92.5;81;99.5;96; 78;88.5;75.5;93;93;82.5;80.5;68;76.5;102; 150;150;150;150;150;133.5;126;132;127;149; 139;135.5;117.5;113.5;150;150;150;150;150;131.5; 105.5;112.5;120.5;121.5;112.5;114.5;109;142.5;108.5;150; 110.5;133.5;132;150;140.5;130.5;138.5;137;150;125; 150;150;150;150;150;135;150;118.5;123.5;150; 150;150;150;111;146;149;150;131;150;116; 150;150;150;113;130;150;110.5;115.5;109;105.5; 150;150;150;150;150;105.5;108;108.5;112;109; 105.5;108;110;109.5;116;150;114;150;116;146; 149.5;111.5;108;130;137;115;123;90.5;127.5;112; 131;110;119.5;114;123;111.5;150;150;113.5;112; 111.5;127.5;136.5;117.5;147;127;111.5;120;110;115; 150;150;115;114;150;150;104;117.5;135;144; 118.5;99.5;137.5;119;150;118;150;134.5;137.5;97; 139.5;136;134.5;150;150;150;150;150;144.5;139; 65;71.5;50.5;81;82.5;67.5;67.5;50.5;57.5;69.5; 50.5;63;63.5;84;72;77.5;85.5;60.5;76.5;69; 73;51;85;66;50.5;78;82.5;79;71.5;61; 150;150;150;150;126.5;131.5;88;150;122;150; 91;113;113.5;150;130.5;129;124;115.5;150;116; 149;113;113;116;121.5;150;114;110;116;112; 150;118;114;97;150;150;115;150;150;150; 150;111.5;122;150;150;112;120;150;116;123.5; 78.5;77;119.5;150;150;120.5;115.5;129.5;121.5;115.5; 150;150;91;100;98.5;106;96;100;61;150; 94.5;134;135.5;118;150;130.5;150;150;150;150; 150;150;150;150;114;150;150;122;150;150; 109.5;113.5;113.5;115.5;143;107.5;135;114.5;132.5;112.5; 117;140.5;120.5;150;113;150;140;150;150;84.5; 119.5;150;129;114.5;119;118.5;117;122.5;120.5;150; 150;150;150;150;150;109;107;113.5;109;112.5; 114;109;76.5;84;111;117;135;150;150;127; 112.5;129.5;112;111;150;150;150;114;111;108; 122;103;76;105;103.5;61;68.5;101.5;150;103; 97;150;150;104;110;63;118.5;105.5;101.5;150; 150;53.5;106.5;107.5;150;117.5;68.5;150;73.5;104; 150;136.5;150;121;120.5;150;150;150;150;150; 150;150;115.5;139;150;150;150;110;120;150; 119;150;141;121;150;150;150;150;150;150; 111;114;109;109;92.5;77.5;106.5;108;106.5;110; 110;104.5;109.5;107.5;109;107.5;107.5;106.5;107.5;106.5; 83;111;108.5;108.5;110;99.5;108.5;98.5;105.5;105; 150;150;150;150;150;150;126;91;72;91; 99.5;117;150;150;150;117.5;150;108.5;121.5;118; 120.5;96;150;79;150;108.5;111;150;150;113; 97;80.5;100;79.5;96.5;99;83;85;95;80; 117.5;87;121;135;108.5;95.5;94;119.5;150;136; 96;77;85;123;91.5;82;122.5;109.5;92.5;97; 150;150;119.5;135;150;150;150;122.5;150;105; 121.5;107.5;109;112;150;150;122;96;120.5;123; 121.5;100.5;129.5;144;123;139.5;150;120;138.5;113; 116;109;109.5;104;112;101.5;114.5;139;110.5;116; 115;105;114;122.5;100.5;112.5;118;121.5;105;129; 111;108.5;109.5;116.5;150;117;132;102.5;108.5;114.5; 150;150;150;137.5;150;147.5;150;150;150;150; 150;150;150;103.5;148.5;108.5;121.5;106;118.5;111; 111;150;148.5;150;150;101;101.5;109.5;100.5;100; 109;101;101.5;92.5;115;101.5;116;122.5;109;122; 89;113.5;114;105.5;125;110;91.5;95.5;113;114.5; 105;114;111.5;86;89.5;112;131;135;150;93.5; 150;150;150;150;150;144;137.5;119.5;132.5;96; 111.5;105.5;111.5;72.5;118;107.5;118;116;134.5;150; 150;150;74.5;73.5;83;118.5;128;150;126;119; 138.5;73.5;150;138;109.5;114;150;111.5;83.5;82.5; 75.5;81;143;136.5;104;136;90;104.5;81;121; 150;75.5;118;69;68;86.5;80.5;93;144.5;126.5; 115;118.5;121.5;150;143.5;120.5;150;118;150;150; 150;150;150;131.5;150;113.5;150;150;150;150; 122.5;148;150;150;150;150;150;137.5;150;150; 79;110.5;120;110.5;114.5;114.5;98.5;101;75.5;120.5; 81;88;85;78.5;104;93.5;100;111;92;84; 108;100;109.5;118;117;98;91;77.5;110;113; 150;150;150;150;133;139.5;147.5;150;150;150; 142.5;150;150;150;150;114.5;114;115;111.5;112.5; 70.5;82.5;75;69;109;72.5;72;65.5;68.5;73.5; 55;102.5;108.5;108.5;79;108;72;111.5;99.5;80; 91.5;79.5;92;72.5;103;79;110;126;107;104; 73;79.5;111;100.5;70;83;75.5;92.5;106.5;72.5; 150;150;150;150;150;119;126.5;83;107.5;98; 70.5;90;81;109;63;79.5;68.5;103.5;110;104; 105;81.5;104.5;88.5;111;114.5;150;150;150;113; 106;87;92.5;149;106;107;89.5;109;109;110; 102.5;122.5;112.5;103;102.5;128;135;110.5;139;128; 107;111;108.5;103.5;113.5;109.5;111.5;95;150;120; 150;150;150;150;133.5;150;150;136.5;104;131; 101.5;141.5;150;150;150;150;150;134.5;141.5;101.5; 122;140;101.5;139;133.5;64;63.5;57;95;97.5; 115;80.5;112.5;83.5;110.5;74.5;62;109;112;83.5; 78.5;104;112;111.5;111.5;64;115;109.5;65;77.5; 110.5;106;111;110.5;110;112.5;112;110.5;86;112; 150;150;150;150;150;111.5;110.5;150;137;107.5; 111.5;108;103.5;111.5;109.5;106.5;109;135;140;115; 111;112.5;109;109.5;120.5;145.5;80.5;124.5;103;115; 118;49.5;71.5;100;69;50;100;132;100;49.5; 99.5;100.5;119;150;135.5;126;100;88.5;150;150; 117.5;133;91.5;99.5;141.5;88.5;93;145;67.5;50.5; 150;150;119.5;150;150;150;150;150;150;150; 150;150;150;140.5;120.5;112;111;118;120.5;115; 134;132.5;150;116;112;80.5;85;110;83.5;110.5; 101.5;85;70.5;100.5;77.5;103;69;71;74.5;111; 75.5;70;105;88.5;68;64.5;106.5;101;71.5;96; 65.5;112.5;83;67.5;91.5;82.5;110.5;71.5;67;74; 150;150;150;150;150;123;150;150;150;150; 150;150;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;150; 150;147.5;144.5;143.5;129;119;118;130.5;111.5;141.5; 128;117;136.5;150;150;121.5;150;112;116.5;124; 150;150;124.5;120.5;150;145;150;137.5;150;150; 101;145;150;150;150;146;109.5;150;150;150; 150;150;150;150;150;150;150;118.5;107.5;107; 106;105.5;105.5;105;107;107;110;109.5;110.5;108; 84;72;98;61.5;95;64;103.5;74;75;89.5; 79.5;62.5;62;74.5;62.5;62;68;63.5;71.5;60.5; 58;88.5;60.5;65;112.5;60.5;58.5;67;66.5;62; 150;146;150;139.5;130;125;99.5;96;93;128.5; 147.5;124;135.5;140.5;125;150;123;150;150;118.5; 150;147.5;150;146;119.5;116;121.5;117;123.5;130.5; 113;111;118.5;113;137;138.5;113.5;117;112.5;122; 119;111.5;117;117.5;112.5;127.5;133.5;126.5;116;117; 128;117.5;124.5;116.5;109.5;125;116;111.5;113.5;111.5; 150;150;150;150;150;150;150;150;150;150; 146;150;150;150;150;150;150;150;150;150; 150;150;150;150;150;150;150;150;150;150; 127.5;150;115.5;150;150;150;150;150;150;150; 150;150;121.5;150;118;150;150;123;133;127.5; 144.5;150;150;150;150;150;150;150;150;139.5; 150;150;138;150;144.5;135.5;146;118;97;78; 100;100;101.5;100;102;105.5;101;105;110;108.5; 107.5;116;139.5;128;130;109.5;120.5;150;150;150; 112.5;150;114;147;148;133;137;139;150;135; 150;142;120;109.5;132;134;150;140;128.5;150; 135.5;150;102;133.5;150;150;103.5;150;150;115; 150;150;150;150;150;150;150;142;138;109; 104.5;150;107.5;109;107.5;112.5;110.5;116;150;133; 150;150;150;150;150;147.5;150;150;109.5;150; 116.5;134;116;138;119;131;121;95.5;108.5;124.5; 129;97.5;126;119;137;103;124.5;135.5;125;97.5; 117.5;125.5;113.5;136.5;117.5;108;130.5;121.5;130.5;131; 150;150;150;150;150;150;112.5;112;111.5;111; 72;113.5;111.5;108.5;116;112.5;110;117.5;94;131; 150;150;150;150;150;150;150;150;150;103; 107.5;119.5;150;96;132.5;150;88.5;117;150;113; 111.5;131;102;150;150;89.5;150;126;150;106; 150;70;120.5;101;121.5;102;150;121;122.5;70.5; 150;150;150;114.5;117.5;114.5;114.5;115;150;122; 113;150;112;112;112;114.5;116;107.5;89;88; 127.5;128;85;91;138;106.5;99.5;100;109;110.5; 108.5;101;108;77;85.5;106;105.5;150;124.5;106.5; 96.5;109;103;148;132.5;105.5;110.5;107.5;119.5;107.5; 86;145;110;104;118.5;107;117;90.5;123.5;106; 150;119;150;150;118;132;130.5;128;150;134.5; 89.5;64.5;112;109.5;150;150;150;150;150;150; 89.5;97;88;118;125.5;130;82.5;102;79;71.5; 63;54.5;65;68.5;77.5;95.5;91.5;74.5;81.5;54.5; 106;87.5;85.5;97.5;65;89;53;84.5;100;84; 64;83.5;95.5;50.5;88;102.5;73;70;69.5;78; 150;150;150;150;150;149.5;150;150;150;150; 123.5;150;150;106.5;108;150;112;110.5;129;116; 81;111;109.5;150;150;150;118;92.5;145.5;110; 97.5;91;74.5;108;112.5;62.5;67.5;129.5;127.5;110.5; 88;105;91.5;107.5;79;135.5;64.5;108.5;67.5;106.5; 109.5;92;73.5;95.5;113.5;95.5;85;110;107.5;104.5; }; }; }; data_flags=SAVE_ROWS|AUTO_CALC; auto_load=NO_AUTO_LOAD; auto_load_file=; row_height=0; keygen 4 0=0; row_indexes { name="row_indexes"; [3000] 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 60;61;62;63;64;65;66;67;68;69; 70;71;72;73;74;75;76;77;78;79; 80;81;82;83;84;85;86;87;88;89; 90;91;92;93;94;95;96;97;98;99; 100;101;102;103;104;105;106;107;108;109; 110;111;112;113;114;115;116;117;118;119; 120;121;122;123;124;125;126;127;128;129; 130;131;132;133;134;135;136;137;138;139; 140;141;142;143;144;145;146;147;148;149; 150;151;152;153;154;155;156;157;158;159; 160;161;162;163;164;165;166;167;168;169; 170;171;172;173;174;175;176;177;178;179; 180;181;182;183;184;185;186;187;188;189; 190;191;192;193;194;195;196;197;198;199; 200;201;202;203;204;205;206;207;208;209; 210;211;212;213;214;215;216;217;218;219; 220;221;222;223;224;225;226;227;228;229; 230;231;232;233;234;235;236;237;238;239; 240;241;242;243;244;245;246;247;248;249; 250;251;252;253;254;255;256;257;258;259; 260;261;262;263;264;265;266;267;268;269; 270;271;272;273;274;275;276;277;278;279; 280;281;282;283;284;285;286;287;288;289; 290;291;292;293;294;295;296;297;298;299; 300;301;302;303;304;305;306;307;308;309; 310;311;312;313;314;315;316;317;318;319; 320;321;322;323;324;325;326;327;328;329; 330;331;332;333;334;335;336;337;338;339; 340;341;342;343;344;345;346;347;348;349; 350;351;352;353;354;355;356;357;358;359; 360;361;362;363;364;365;366;367;368;369; 370;371;372;373;374;375;376;377;378;379; 380;381;382;383;384;385;386;387;388;389; 390;391;392;393;394;395;396;397;398;399; 400;401;402;403;404;405;406;407;408;409; 410;411;412;413;414;415;416;417;418;419; 420;421;422;423;424;425;426;427;428;429; 430;431;432;433;434;435;436;437;438;439; 440;441;442;443;444;445;446;447;448;449; 450;451;452;453;454;455;456;457;458;459; 460;461;462;463;464;465;466;467;468;469; 470;471;472;473;474;475;476;477;478;479; 480;481;482;483;484;485;486;487;488;489; 490;491;492;493;494;495;496;497;498;499; 500;501;502;503;504;505;506;507;508;509; 510;511;512;513;514;515;516;517;518;519; 520;521;522;523;524;525;526;527;528;529; 530;531;532;533;534;535;536;537;538;539; 540;541;542;543;544;545;546;547;548;549; 550;551;552;553;554;555;556;557;558;559; 560;561;562;563;564;565;566;567;568;569; 570;571;572;573;574;575;576;577;578;579; 580;581;582;583;584;585;586;587;588;589; 590;591;592;593;594;595;596;597;598;599; 600;601;602;603;604;605;606;607;608;609; 610;611;612;613;614;615;616;617;618;619; 620;621;622;623;624;625;626;627;628;629; 630;631;632;633;634;635;636;637;638;639; 640;641;642;643;644;645;646;647;648;649; 650;651;652;653;654;655;656;657;658;659; 660;661;662;663;664;665;666;667;668;669; 670;671;672;673;674;675;676;677;678;679; 680;681;682;683;684;685;686;687;688;689; 690;691;692;693;694;695;696;697;698;699; 700;701;702;703;704;705;706;707;708;709; 710;711;712;713;714;715;716;717;718;719; 720;721;722;723;724;725;726;727;728;729; 730;731;732;733;734;735;736;737;738;739; 740;741;742;743;744;745;746;747;748;749; 750;751;752;753;754;755;756;757;758;759; 760;761;762;763;764;765;766;767;768;769; 770;771;772;773;774;775;776;777;778;779; 780;781;782;783;784;785;786;787;788;789; 790;791;792;793;794;795;796;797;798;799; 800;801;802;803;804;805;806;807;808;809; 810;811;812;813;814;815;816;817;818;819; 820;821;822;823;824;825;826;827;828;829; 830;831;832;833;834;835;836;837;838;839; 840;841;842;843;844;845;846;847;848;849; 850;851;852;853;854;855;856;857;858;859; 860;861;862;863;864;865;866;867;868;869; 870;871;872;873;874;875;876;877;878;879; 880;881;882;883;884;885;886;887;888;889; 890;891;892;893;894;895;896;897;898;899; 900;901;902;903;904;905;906;907;908;909; 910;911;912;913;914;915;916;917;918;919; 920;921;922;923;924;925;926;927;928;929; 930;931;932;933;934;935;936;937;938;939; 940;941;942;943;944;945;946;947;948;949; 950;951;952;953;954;955;956;957;958;959; 960;961;962;963;964;965;966;967;968;969; 970;971;972;973;974;975;976;977;978;979; 980;981;982;983;984;985;986;987;988;989; 990;991;992;993;994;995;996;997;998;999; 1000;1001;1002;1003;1004;1005;1006;1007;1008;1009; 1010;1011;1012;1013;1014;1015;1016;1017;1018;1019; 1020;1021;1022;1023;1024;1025;1026;1027;1028;1029; 1030;1031;1032;1033;1034;1035;1036;1037;1038;1039; 1040;1041;1042;1043;1044;1045;1046;1047;1048;1049; 1050;1051;1052;1053;1054;1055;1056;1057;1058;1059; 1060;1061;1062;1063;1064;1065;1066;1067;1068;1069; 1070;1071;1072;1073;1074;1075;1076;1077;1078;1079; 1080;1081;1082;1083;1084;1085;1086;1087;1088;1089; 1090;1091;1092;1093;1094;1095;1096;1097;1098;1099; 1100;1101;1102;1103;1104;1105;1106;1107;1108;1109; 1110;1111;1112;1113;1114;1115;1116;1117;1118;1119; 1120;1121;1122;1123;1124;1125;1126;1127;1128;1129; 1130;1131;1132;1133;1134;1135;1136;1137;1138;1139; 1140;1141;1142;1143;1144;1145;1146;1147;1148;1149; 1150;1151;1152;1153;1154;1155;1156;1157;1158;1159; 1160;1161;1162;1163;1164;1165;1166;1167;1168;1169; 1170;1171;1172;1173;1174;1175;1176;1177;1178;1179; 1180;1181;1182;1183;1184;1185;1186;1187;1188;1189; 1190;1191;1192;1193;1194;1195;1196;1197;1198;1199; 1200;1201;1202;1203;1204;1205;1206;1207;1208;1209; 1210;1211;1212;1213;1214;1215;1216;1217;1218;1219; 1220;1221;1222;1223;1224;1225;1226;1227;1228;1229; 1230;1231;1232;1233;1234;1235;1236;1237;1238;1239; 1240;1241;1242;1243;1244;1245;1246;1247;1248;1249; 1250;1251;1252;1253;1254;1255;1256;1257;1258;1259; 1260;1261;1262;1263;1264;1265;1266;1267;1268;1269; 1270;1271;1272;1273;1274;1275;1276;1277;1278;1279; 1280;1281;1282;1283;1284;1285;1286;1287;1288;1289; 1290;1291;1292;1293;1294;1295;1296;1297;1298;1299; 1300;1301;1302;1303;1304;1305;1306;1307;1308;1309; 1310;1311;1312;1313;1314;1315;1316;1317;1318;1319; 1320;1321;1322;1323;1324;1325;1326;1327;1328;1329; 1330;1331;1332;1333;1334;1335;1336;1337;1338;1339; 1340;1341;1342;1343;1344;1345;1346;1347;1348;1349; 1350;1351;1352;1353;1354;1355;1356;1357;1358;1359; 1360;1361;1362;1363;1364;1365;1366;1367;1368;1369; 1370;1371;1372;1373;1374;1375;1376;1377;1378;1379; 1380;1381;1382;1383;1384;1385;1386;1387;1388;1389; 1390;1391;1392;1393;1394;1395;1396;1397;1398;1399; 1400;1401;1402;1403;1404;1405;1406;1407;1408;1409; 1410;1411;1412;1413;1414;1415;1416;1417;1418;1419; 1420;1421;1422;1423;1424;1425;1426;1427;1428;1429; 1430;1431;1432;1433;1434;1435;1436;1437;1438;1439; 1440;1441;1442;1443;1444;1445;1446;1447;1448;1449; 1450;1451;1452;1453;1454;1455;1456;1457;1458;1459; 1460;1461;1462;1463;1464;1465;1466;1467;1468;1469; 1470;1471;1472;1473;1474;1475;1476;1477;1478;1479; 1480;1481;1482;1483;1484;1485;1486;1487;1488;1489; 1490;1491;1492;1493;1494;1495;1496;1497;1498;1499; 1500;1501;1502;1503;1504;1505;1506;1507;1508;1509; 1510;1511;1512;1513;1514;1515;1516;1517;1518;1519; 1520;1521;1522;1523;1524;1525;1526;1527;1528;1529; 1530;1531;1532;1533;1534;1535;1536;1537;1538;1539; 1540;1541;1542;1543;1544;1545;1546;1547;1548;1549; 1550;1551;1552;1553;1554;1555;1556;1557;1558;1559; 1560;1561;1562;1563;1564;1565;1566;1567;1568;1569; 1570;1571;1572;1573;1574;1575;1576;1577;1578;1579; 1580;1581;1582;1583;1584;1585;1586;1587;1588;1589; 1590;1591;1592;1593;1594;1595;1596;1597;1598;1599; 1600;1601;1602;1603;1604;1605;1606;1607;1608;1609; 1610;1611;1612;1613;1614;1615;1616;1617;1618;1619; 1620;1621;1622;1623;1624;1625;1626;1627;1628;1629; 1630;1631;1632;1633;1634;1635;1636;1637;1638;1639; 1640;1641;1642;1643;1644;1645;1646;1647;1648;1649; 1650;1651;1652;1653;1654;1655;1656;1657;1658;1659; 1660;1661;1662;1663;1664;1665;1666;1667;1668;1669; 1670;1671;1672;1673;1674;1675;1676;1677;1678;1679; 1680;1681;1682;1683;1684;1685;1686;1687;1688;1689; 1690;1691;1692;1693;1694;1695;1696;1697;1698;1699; 1700;1701;1702;1703;1704;1705;1706;1707;1708;1709; 1710;1711;1712;1713;1714;1715;1716;1717;1718;1719; 1720;1721;1722;1723;1724;1725;1726;1727;1728;1729; 1730;1731;1732;1733;1734;1735;1736;1737;1738;1739; 1740;1741;1742;1743;1744;1745;1746;1747;1748;1749; 1750;1751;1752;1753;1754;1755;1756;1757;1758;1759; 1760;1761;1762;1763;1764;1765;1766;1767;1768;1769; 1770;1771;1772;1773;1774;1775;1776;1777;1778;1779; 1780;1781;1782;1783;1784;1785;1786;1787;1788;1789; 1790;1791;1792;1793;1794;1795;1796;1797;1798;1799; 1800;1801;1802;1803;1804;1805;1806;1807;1808;1809; 1810;1811;1812;1813;1814;1815;1816;1817;1818;1819; 1820;1821;1822;1823;1824;1825;1826;1827;1828;1829; 1830;1831;1832;1833;1834;1835;1836;1837;1838;1839; 1840;1841;1842;1843;1844;1845;1846;1847;1848;1849; 1850;1851;1852;1853;1854;1855;1856;1857;1858;1859; 1860;1861;1862;1863;1864;1865;1866;1867;1868;1869; 1870;1871;1872;1873;1874;1875;1876;1877;1878;1879; 1880;1881;1882;1883;1884;1885;1886;1887;1888;1889; 1890;1891;1892;1893;1894;1895;1896;1897;1898;1899; 1900;1901;1902;1903;1904;1905;1906;1907;1908;1909; 1910;1911;1912;1913;1914;1915;1916;1917;1918;1919; 1920;1921;1922;1923;1924;1925;1926;1927;1928;1929; 1930;1931;1932;1933;1934;1935;1936;1937;1938;1939; 1940;1941;1942;1943;1944;1945;1946;1947;1948;1949; 1950;1951;1952;1953;1954;1955;1956;1957;1958;1959; 1960;1961;1962;1963;1964;1965;1966;1967;1968;1969; 1970;1971;1972;1973;1974;1975;1976;1977;1978;1979; 1980;1981;1982;1983;1984;1985;1986;1987;1988;1989; 1990;1991;1992;1993;1994;1995;1996;1997;1998;1999; 2000;2001;2002;2003;2004;2005;2006;2007;2008;2009; 2010;2011;2012;2013;2014;2015;2016;2017;2018;2019; 2020;2021;2022;2023;2024;2025;2026;2027;2028;2029; 2030;2031;2032;2033;2034;2035;2036;2037;2038;2039; 2040;2041;2042;2043;2044;2045;2046;2047;2048;2049; 2050;2051;2052;2053;2054;2055;2056;2057;2058;2059; 2060;2061;2062;2063;2064;2065;2066;2067;2068;2069; 2070;2071;2072;2073;2074;2075;2076;2077;2078;2079; 2080;2081;2082;2083;2084;2085;2086;2087;2088;2089; 2090;2091;2092;2093;2094;2095;2096;2097;2098;2099; 2100;2101;2102;2103;2104;2105;2106;2107;2108;2109; 2110;2111;2112;2113;2114;2115;2116;2117;2118;2119; 2120;2121;2122;2123;2124;2125;2126;2127;2128;2129; 2130;2131;2132;2133;2134;2135;2136;2137;2138;2139; 2140;2141;2142;2143;2144;2145;2146;2147;2148;2149; 2150;2151;2152;2153;2154;2155;2156;2157;2158;2159; 2160;2161;2162;2163;2164;2165;2166;2167;2168;2169; 2170;2171;2172;2173;2174;2175;2176;2177;2178;2179; 2180;2181;2182;2183;2184;2185;2186;2187;2188;2189; 2190;2191;2192;2193;2194;2195;2196;2197;2198;2199; 2200;2201;2202;2203;2204;2205;2206;2207;2208;2209; 2210;2211;2212;2213;2214;2215;2216;2217;2218;2219; 2220;2221;2222;2223;2224;2225;2226;2227;2228;2229; 2230;2231;2232;2233;2234;2235;2236;2237;2238;2239; 2240;2241;2242;2243;2244;2245;2246;2247;2248;2249; 2250;2251;2252;2253;2254;2255;2256;2257;2258;2259; 2260;2261;2262;2263;2264;2265;2266;2267;2268;2269; 2270;2271;2272;2273;2274;2275;2276;2277;2278;2279; 2280;2281;2282;2283;2284;2285;2286;2287;2288;2289; 2290;2291;2292;2293;2294;2295;2296;2297;2298;2299; 2300;2301;2302;2303;2304;2305;2306;2307;2308;2309; 2310;2311;2312;2313;2314;2315;2316;2317;2318;2319; 2320;2321;2322;2323;2324;2325;2326;2327;2328;2329; 2330;2331;2332;2333;2334;2335;2336;2337;2338;2339; 2340;2341;2342;2343;2344;2345;2346;2347;2348;2349; 2350;2351;2352;2353;2354;2355;2356;2357;2358;2359; 2360;2361;2362;2363;2364;2365;2366;2367;2368;2369; 2370;2371;2372;2373;2374;2375;2376;2377;2378;2379; 2380;2381;2382;2383;2384;2385;2386;2387;2388;2389; 2390;2391;2392;2393;2394;2395;2396;2397;2398;2399; 2400;2401;2402;2403;2404;2405;2406;2407;2408;2409; 2410;2411;2412;2413;2414;2415;2416;2417;2418;2419; 2420;2421;2422;2423;2424;2425;2426;2427;2428;2429; 2430;2431;2432;2433;2434;2435;2436;2437;2438;2439; 2440;2441;2442;2443;2444;2445;2446;2447;2448;2449; 2450;2451;2452;2453;2454;2455;2456;2457;2458;2459; 2460;2461;2462;2463;2464;2465;2466;2467;2468;2469; 2470;2471;2472;2473;2474;2475;2476;2477;2478;2479; 2480;2481;2482;2483;2484;2485;2486;2487;2488;2489; 2490;2491;2492;2493;2494;2495;2496;2497;2498;2499; 2500;2501;2502;2503;2504;2505;2506;2507;2508;2509; 2510;2511;2512;2513;2514;2515;2516;2517;2518;2519; 2520;2521;2522;2523;2524;2525;2526;2527;2528;2529; 2530;2531;2532;2533;2534;2535;2536;2537;2538;2539; 2540;2541;2542;2543;2544;2545;2546;2547;2548;2549; 2550;2551;2552;2553;2554;2555;2556;2557;2558;2559; 2560;2561;2562;2563;2564;2565;2566;2567;2568;2569; 2570;2571;2572;2573;2574;2575;2576;2577;2578;2579; 2580;2581;2582;2583;2584;2585;2586;2587;2588;2589; 2590;2591;2592;2593;2594;2595;2596;2597;2598;2599; 2600;2601;2602;2603;2604;2605;2606;2607;2608;2609; 2610;2611;2612;2613;2614;2615;2616;2617;2618;2619; 2620;2621;2622;2623;2624;2625;2626;2627;2628;2629; 2630;2631;2632;2633;2634;2635;2636;2637;2638;2639; 2640;2641;2642;2643;2644;2645;2646;2647;2648;2649; 2650;2651;2652;2653;2654;2655;2656;2657;2658;2659; 2660;2661;2662;2663;2664;2665;2666;2667;2668;2669; 2670;2671;2672;2673;2674;2675;2676;2677;2678;2679; 2680;2681;2682;2683;2684;2685;2686;2687;2688;2689; 2690;2691;2692;2693;2694;2695;2696;2697;2698;2699; 2700;2701;2702;2703;2704;2705;2706;2707;2708;2709; 2710;2711;2712;2713;2714;2715;2716;2717;2718;2719; 2720;2721;2722;2723;2724;2725;2726;2727;2728;2729; 2730;2731;2732;2733;2734;2735;2736;2737;2738;2739; 2740;2741;2742;2743;2744;2745;2746;2747;2748;2749; 2750;2751;2752;2753;2754;2755;2756;2757;2758;2759; 2760;2761;2762;2763;2764;2765;2766;2767;2768;2769; 2770;2771;2772;2773;2774;2775;2776;2777;2778;2779; 2780;2781;2782;2783;2784;2785;2786;2787;2788;2789; 2790;2791;2792;2793;2794;2795;2796;2797;2798;2799; 2800;2801;2802;2803;2804;2805;2806;2807;2808;2809; 2810;2811;2812;2813;2814;2815;2816;2817;2818;2819; 2820;2821;2822;2823;2824;2825;2826;2827;2828;2829; 2830;2831;2832;2833;2834;2835;2836;2837;2838;2839; 2840;2841;2842;2843;2844;2845;2846;2847;2848;2849; 2850;2851;2852;2853;2854;2855;2856;2857;2858;2859; 2860;2861;2862;2863;2864;2865;2866;2867;2868;2869; 2870;2871;2872;2873;2874;2875;2876;2877;2878;2879; 2880;2881;2882;2883;2884;2885;2886;2887;2888;2889; 2890;2891;2892;2893;2894;2895;2896;2897;2898;2899; 2900;2901;2902;2903;2904;2905;2906;2907;2908;2909; 2910;2911;2912;2913;2914;2915;2916;2917;2918;2919; 2920;2921;2922;2923;2924;2925;2926;2927;2928;2929; 2930;2931;2932;2933;2934;2935;2936;2937;2938;2939; 2940;2941;2942;2943;2944;2945;2946;2947;2948;2949; 2950;2951;2952;2953;2954;2955;2956;2957;2958;2959; 2960;2961;2962;2963;2964;2965;2966;2967;2968;2969; 2970;2971;2972;2973;2974;2975;2976;2977;2978;2979; 2980;2981;2982;2983;2984;2985;2986;2987;2988;2989; 2990;2991;2992;2993;2994;2995;2996;2997;2998;2999; }; last_sort_spec { name="last_sort_spec"; ops { name="ops"; el_typ=DataSortEl; el_def=0; }; }; control_panel_cells { name="control_panel_cells"; el_typ=DataTableCell; el_def=0; }; }; DataTable @["CycleOutputData"] { name="CycleOutputData"; desc=; data { name="data"; el_typ=int_Data; el_def=0; int_Data @["batch"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_43"; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.2057613134384155; val_type_fixed=0; }; }; name="batch"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["epoch"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_44"; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.2057613134384155; val_type_fixed=0; }; }; name="epoch"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["trial"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_45"; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.2057613134384155; val_type_fixed=0; }; }; name="trial"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["trial_name"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_87"; el_typ=UserDataItem; el_def=0; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.2057613134384155; val_type_fixed=0; }; }; name="trial_name"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; String_Data @["group_name"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_88"; el_typ=UserDataItem; el_def=0; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.2057613134384155; val_type_fixed=0; }; }; name="group_name"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["phase_no"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_46"; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.2057613134384155; val_type_fixed=0; }; }; name="phase_no"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; int_Data @["cycle"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_47"; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.2057613134384155; val_type_fixed=0; }; }; name="cycle"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; float_Data @["sse"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_89"; el_typ=UserDataItem; el_def=0; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.2057613134384155; val_type_fixed=0; }; }; name="sse"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; float_Data @["ext_rew"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_90"; el_typ=UserDataItem; el_def=0; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.2057613134384155; val_type_fixed=0; }; }; name="ext_rew"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; float_Data @["STN_acts_avg"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_91"; el_typ=UserDataItem; el_def=0; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.2057613134384155; val_type_fixed=0; }; }; name="STN_acts_avg"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; float_Data @["PMC_acts_avg"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_92"; el_typ=UserDataItem; el_def=0; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.2057613134384155; val_type_fixed=0; }; }; name="PMC_acts_avg"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; float_Data @["Thalam_acts_avg"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_93"; el_typ=UserDataItem; el_def=0; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.2057613134384155; val_type_fixed=0; }; }; name="Thalam_acts_avg"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; float_Data @["GP_Int_acts_avg"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_94"; el_typ=UserDataItem; el_def=0; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.2057613134384155; val_type_fixed=0; }; }; name="GP_Int_acts_avg"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; float_Data @["GP_Ext_acts_avg"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_95"; el_typ=UserDataItem; el_def=0; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.2057613134384155; val_type_fixed=0; }; }; name="GP_Ext_acts_avg"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; float_Data @["Output_act"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_96"; el_typ=UserDataItem; el_def=0; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.2057613134384155; val_type_fixed=0; }; }; name="Output_act"; col_flags=SAVE_DATA; is_matrix=1; cell_geom{ 4;1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [2] ;; }; width=0; }; float_Data @["Output_units_0_act"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_97"; el_typ=UserDataItem; el_def=0; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.2057613134384155; val_type_fixed=0; }; }; name="Output_units_0_act"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; float_Data @["SNc_acts_avg"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_98"; el_typ=UserDataItem; el_def=0; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.2057613134384155; val_type_fixed=0; }; }; name="SNc_acts_avg"; col_flags=SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=0; }; }; data_flags=AUTO_CALC; auto_load=NO_AUTO_LOAD; auto_load_file=; row_height=0; keygen 4 0=0; last_sort_spec { name="last_sort_spec"; ops { name="ops"; el_typ=DataSortEl; el_def=0; }; }; control_panel_cells { name="control_panel_cells"; el_typ=DataTableCell; el_def=0; }; }; }; DataTable_Group @.gp["AnalysisData"] { name="AnalysisData"; el_typ=DataTable; el_def=0; save_tables=1; DataTable @["TrialOutputData_Group"] { name="TrialOutputData_Group"; desc=; data { name="data"; el_typ=String_Data; el_def=0; String_Data @["trial_name_group"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_81"; el_typ=UserDataItem; el_def=0; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1092715263366699; val_type_fixed=0; }; }; name="trial_name_group"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [190] "6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4"; "6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4"; "6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4"; "6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4"; "6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"6040_R3R4";"8020_R1R2"; "8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2"; "8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2"; "8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2"; "8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2"; "8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2";"8020_R1R2";"comboA";"comboA";"comboA";"comboA";"comboA"; "comboA";"comboA";"comboA";"comboA";"comboA";"comboA";"comboA";"comboA";"comboA";"comboA"; "comboA";"comboA";"comboA";"comboA";"comboA";"comboA";"comboA";"comboA";"comboA";"comboA"; "comboA";"comboA";"comboA";"comboA";"comboA";"comboA";"comboA";"comboA";"comboA";"comboA"; "comboA";"comboA";"comboA";"comboA";"comboA";"comboA";"comboA";"comboA";"comboA";"comboA"; "comboA";"comboA";"comboA";"comboA";"comboB";"comboB";"comboB";"comboB";"comboB";"comboB"; "comboB";"comboB";"comboB";"comboB";"comboB";"comboB";"comboB";"comboB";"comboB";"comboB"; "comboB";"comboB";"comboB";"comboB";"comboB";"comboB";"comboB";"comboB";"comboB";"comboB"; "comboB";"comboB";"comboB";"comboB";"comboB";"comboB";"comboB";"comboB";"comboB";"comboB"; "comboB";"comboB";"comboB";"comboB";"comboB";"comboB";"comboB";"comboB";"comboB";"comboB"; }; }; int_Data @["batch_group"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_73"; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1092715263366699; val_type_fixed=0; }; }; name="batch_group"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [190] 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;35;36;37;38;39;40; 41;42;43;44;45;46;47;48;49;0; 1;2;3;4;5;6;7;8;9;10; 11;12;13;14;16;17;18;19;20;21; 22;23;25;26;27;28;29;30;31;32; 33;34;35;36;37;38;39;41;42;44; 45;46;47;48;49;0;1;2;3;4; 5;6;7;8;9;10;11;12;13;14; 16;17;18;19;20;21;22;23;24;25; 26;27;28;29;30;31;32;33;34;35; 36;37;38;39;40;41;42;43;44;45; 46;47;48;49;0;1;2;3;4;5; 6;7;8;9;10;11;12;13;15;16; 17;18;20;21;22;23;24;25;26;27; 28;29;30;31;32;33;34;35;36;37; 38;39;40;41;44;45;46;47;48;49; }; }; float_Data @["r1_mean"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_75"; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1092715263366699; val_type_fixed=0; }; }; name="r1_mean"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [190] 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;1;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0.77777779; 1;1;0.85000002;1;1;0.60000002;0.84615386;0.75;0.94117647;1; 1;1;0.2857143;1;1;1;0.94999999;0.89999998;0.6785714;1; 1;1;0.5;0.5714286;0.090909094;1;0.60000002;0.11111111;0.5;0; 0.94999999;0.090909094;0.78947371;1;0.85714287;1;0.93333334;0;0.94736844;0; 0.40000001;0;0.86363637;0.93333334;0.92307693;0.55555558;0.43333334;0.76666665;1;0.96666664; 0.95454544;1;1;0.7368421;1;0.93333334;1;0.46666667;0.76666665;0.86666667; 1;1;0.86666667;0.96666664;0.89999998;0.17391305;0.36666667;1;1;0.06666667; 0;0.45454547;0.14285715;1;0.14814815;0.89655173;0.52380955;0.70833331;0.82608694;0.58620691; 0.96296299;1;0.40000001;0.75;0;0.033333335;0.96666664;0.8888889;0.54545456;0.73333335; 0.53333336;0.96296299;0.93333334;0.77777779;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0.090909094; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; }; }; float_Data @["r2_mean"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_76"; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1092715263366699; val_type_fixed=0; }; }; name="r2_mean"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [190] 0;0;0;0;0;0;0;0;0;0; 0;0;0.074074075;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0.06666667;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0.22222222; 0;0;0.1;0;0;0.40000001;0.15384616;0.25;0;0; 0;0;0.2857143;0;0;0;0.050000001;0.1;0;0; 0;0;0.5;0.42857143;0.90909094;0;0.40000001;0.8888889;0.1;0.33333334; 0.050000001;0.81818181;0.21052632;0;0.14285715;0;0.06666667;1;0.052631579;0.75; 0.60000002;1;0;0.06666667;0.07692308;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0.2857143;0;0;0.37931034; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0.27586207;0.15000001;0.42857143;0.10526316;0.083333336;0.14285715; 0.7241379;0.80000001;0.69999999;0.27586207;0;0.41176471;0;0.42857143;1;0.57894737; 0;0.21428572;0.1;0.20689656;0.13333334;0.76923078;0.89285713;0.033333335;0;0.72727275; 0;0.83333331;0.5925926;0.125;0.25;0.050000001;0.96666664;0.13333334;0.5;0.69999999; 0.3125;0.41379312;0.23076923;0.13793103;0.69230771;0.16666667;0.76923078;0.64285713;0.33333334;0.78260869; }; }; float_Data @["r3_mean"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_77"; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1092715263366699; val_type_fixed=0; }; }; name="r3_mean"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [190] 0.95652175;0.89473683;0.05882353;1;0;0;0;1;1;0.36363637; 0;0;0.14814815;0.22727273;1;1;0.13333334;1;0.0625;0.25; 0.83333331;0;0.44827586;0.71428573;1;0.63157892;1;0.89999998;1;0; 1;0;0;0.16666667;0;0.94736844;1;0.86956519;1;1; 1;0.14285715;0;1;0;0.30000001;0;0.375;0.75;0; 0;0;0.050000001;0;0;0;0;0;0.05882353;0; 0;0;0.42857143;0;0;0;0;0;0.32142857;0; 0;0;0;0;0;0;0;0;0;0; 0;0.090909094;0;0;0;0;0;0;0;0.25; 0;0;0.13636364;0;0;0.4074074;0.33333334;0;0;0.033333335; 0;0;0;0.21052632;0;0.033333335;0;0;0.13333334;0.033333335; 0;0;0.033333335;0;0;0.65217394;0.40000001;0;0;0.36666667; 1;0.27272728;0.85714287;0;0.85185188;0.068965517;0;0.083333336;0.086956523;0; 0.037037037;0;0.44;0.25;1;0.80000001;0;0.11111111;0.45454547;0.13333334; 0.40000001;0;0.033333335;0.22222222;0.44827586;0.60000002;0.035714287;0.7368421;0;0; 0.13793103;0;0;0.24137931;0.1875;0.58823532;0.086956523;0;0;0.052631579; 0;0.21428572;0.36666667;0.44827586;0.33333334;0.15384616;0.10714286;0.43333334;0.91666669;0.090909094; 1;0;0.4074074;0.875;0.39285713;0;0.033333335;0.46666667;0.5;0.30000001; 0.6875;0.51724136;0.69230771;0.58620691;0.30769232;0.83333331;0.23076923;0.14285715;0;0.2173913; }; }; float_Data @["r4_mean"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_78"; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1092715263366699; val_type_fixed=0; }; }; name="r4_mean"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [190] 0.043478262;0.10526316;0.94117647;0;1;1;1;0;0;0.63636363; 1;1;0.77777779;0.77272725;0;0;0.86666667;0;0.9375;0.75; 0.16666667;0;0.55172414;0.2857143;0;0.36842105;0;0.1;0;1; 0;1;0.93333334;0.83333331;1;0.052631579;0;0.13043478;0;0; 0;0.85714287;1;0;1;0.69999999;1;0.625;0.25;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0;0;0;0.40000001;0.66666669; 0;0;0;0;0;0;0;0;0;0; 0;0;0;0;0;0.037037037;0.23333333;0.23333333;0;0; 0.045454547;0;0;0.052631579;0;0.033333335;0;0.53333336;0.1;0.1; 0;0;0.1;0.033333335;0.1;0.17391305;0.23333333;0;0;0.56666666; 0;0.27272728;0;0;0;0.034482758;0.19047619;0.20833333;0.086956523;0.034482758; 0;0;0.16;0;0;0.16666667;0.033333335;0;0;0.13333334; 0.06666667;0.037037037;0.033333335;0;0.27586207;0.25;0.53571427;0.15789473;0.91666669;0.85714287; 0.13793103;0.2;0.30000001;0.48275861;0.8125;0;0.9130435;0.5714286;0;0.36842105; 1;0.5714286;0.53333336;0.34482759;0.53333336;0.07692308;0;0.53333336;0.083333336;0.090909094; 0;0.16666667;0;0;0.35714287;0.94999999;0;0.40000001;0;0; 0;0.068965517;0.07692308;0.27586207;0;0;0;0.21428572;0.66666669;0; }; }; }; data_flags=SAVE_ROWS|AUTO_CALC; auto_load=NO_AUTO_LOAD; auto_load_file=; row_height=0; keygen 4 0=0; row_indexes { name="row_indexes"; [190] 0;1;2;3;4;5;6;7;8;9; 10;11;12;13;14;15;16;17;18;19; 20;21;22;23;24;25;26;27;28;29; 30;31;32;33;34;35;36;37;38;39; 40;41;42;43;44;45;46;47;48;49; 50;51;52;53;54;55;56;57;58;59; 60;61;62;63;64;65;66;67;68;69; 70;71;72;73;74;75;76;77;78;79; 80;81;82;83;84;85;86;87;88;89; 90;91;92;93;94;95;96;97;98;99; 100;101;102;103;104;105;106;107;108;109; 110;111;112;113;114;115;116;117;118;119; 120;121;122;123;124;125;126;127;128;129; 130;131;132;133;134;135;136;137;138;139; 140;141;142;143;144;145;146;147;148;149; 150;151;152;153;154;155;156;157;158;159; 160;161;162;163;164;165;166;167;168;169; 170;171;172;173;174;175;176;177;178;179; 180;181;182;183;184;185;186;187;188;189; }; last_sort_spec { name="last_sort_spec"; ops { name="ops"; el_typ=DataSortEl; el_def=0; }; }; control_panel_cells { name="control_panel_cells"; el_typ=DataTableCell; el_def=0; }; }; DataTable @["Stats"] { name="Stats"; desc=; data { name="data"; el_typ=String_Data; el_def=0; String_Data @["trial_name_group_group"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_81"; el_typ=UserDataItem; el_def=0; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1092715263366699; val_type_fixed=0; }; }; name="trial_name_group_group"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [4] "6040_R3R4";"8020_R1R2";"comboA";"comboB"; }; }; float_Data @["r1_mean_mean"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_75"; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1092715263366699; val_type_fixed=0; }; }; name="r1_mean_mean"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [4] 0.020408163;0.73132873;0.70534915;0.0019762847; }; }; float_Data @["r1_mean_sem"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_75"; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1092715263366699; val_type_fixed=0; }; }; name="r1_mean_sem"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [4] 0.020408163;0.050967176;0.045831129;0.0019762858; }; }; float_Data @["r2_mean_mean"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_76"; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1092715263366699; val_type_fixed=0; }; }; name="r2_mean_mean"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [4] 0.0028722603;0.21643738;0.013571931;0.38728923; }; }; float_Data @["r2_mean_sem"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_76"; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1092715263366699; val_type_fixed=0; }; }; name="r2_mean_sem"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [4] 0.0020126258;0.045326982;0.0095938602;0.045421336; }; }; float_Data @["r3_mean_mean"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_77"; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1092715263366699; val_type_fixed=0; }; }; name="r3_mean_mean"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [4] 0.51375312;0.029045571;0.19876195;0.31240192; }; }; float_Data @["r3_mean_sem"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_77"; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1092715263366699; val_type_fixed=0; }; }; name="r3_mean_sem"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [4] 0.062492326;0.012881882;0.041374605;0.04265625; }; }; float_Data @["r4_mean_mean"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_78"; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1092715263366699; val_type_fixed=0; }; }; name="r4_mean_mean"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [4] 0.46296641;0.023188407;0.082316972;0.29833257; }; }; float_Data @["r4_mean_sem"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_78"; el_typ=UserDataItem; el_def=0; UserDataItem @["NARROW"] { name="NARROW"; value 1 0=1; val_type_fixed=0; }; UserDataItem @["view_panel_wd"] { name="view_panel_wd"; value 6 0=0.1092715263366699; val_type_fixed=0; }; }; name="r4_mean_sem"; col_flags=MARK|PIN|SAVE_DATA; is_matrix=0; cell_geom{ 1; }; calc_expr { expr=; }; dim_names { name="dim_names"; [0] }; width=66; ar { name="ar"; [4] 0.061922319;0.016734784;0.01796082;0.046022452; }; }; }; data_flags=SAVE_ROWS|AUTO_CALC; auto_load=NO_AUTO_LOAD; auto_load_file=; row_height=0; keygen 4 0=0; row_indexes { name="row_indexes"; [4] 0;1;2;3; }; last_sort_spec { name="last_sort_spec"; ops { name="ops"; el_typ=DataSortEl; el_def=0; }; }; control_panel_cells { name="control_panel_cells"; el_typ=DataTableCell; el_def=0; }; }; }; }; programs { name="programs"; el_typ=Program; el_def=0; tags=; desc=; debug_mode=0; Program_Group @.gp["LeabraAll_Std"] { name="LeabraAll_Std"; el_typ=Program; el_def=0; tags="Leabra, Std, All"; desc="The full set of programs for training a standard Leabra network"; debug_mode=0; Program @["LeabraBatch"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserData_DocLink; el_def=0; UserData_DocLink @["DocLink"] { name="DocLink"; doc=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraBatch"].doc$$; }; }; name="LeabraBatch"; short_nm="Batch"; tags="Leabra, Std"; desc="Iterate over training runs (a batch of training runs) -- just a simple loop that calls training program"; version { major=0; minor=0; step=0; }; author=; email=; flags=0; stop_step_cond { expr=; }; objs { name="objs"; el_typ=taNBase; el_def=0; }; types { name="types"; el_typ=DynEnumType; el_def=0; }; args { name="args"; el_typ=ProgVar; el_def=0; ProgVar @["FlatNetwork"] { name="FlatNetwork"; var_type=T_Object; object_type=LeabraNetwork; object_val=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL|PGRM_ARG; reference=0; desc="network to operate on -- updates batch counter on network and passes it to train program"; init_from=NULL; }; ProgVar @["input_data"] { name="input_data"; var_type=T_Object; object_type=DataTable; object_val=.projects["BG_4s_inhib_PS_e7a"].data.gp["InputData"]["StdInputData"]$$; objs_ptr=0; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL|PGRM_ARG; reference=0; desc="datatable with training patterns -- not used by this program, but passed to train program"; init_from=NULL; }; ProgVar @["NLoops"] { name="NLoops"; var_type=T_Int; int_val=1; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|EDIT_VAL|PGRM_ARG; reference=0; desc="batch counter"; init_from=NULL; }; }; vars { name="vars"; el_typ=ProgVar; el_def=0; ProgVar @["network"] { name="network"; var_type=T_Object; object_type=LeabraNetwork; object_val=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="network to operate on -- updates batch counter on network and passes it to train program"; init_from=NULL; }; ProgVar @["batch"] { name="batch"; var_type=T_Int; int_val=50; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="batch counter"; init_from=NULL; }; ProgVar @["meds"] { name="meds"; var_type=T_Bool; bool_val=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="simulate da meds (reduced da dip)?"; init_from=NULL; }; ProgVar @["DA_dip_val"] { name="DA_dip_val"; var_type=T_Real; real_val=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["trl_out_data"] { name="trl_out_data"; var_type=T_Object; object_type=DataTable; object_val=.projects["BG_4s_inhib_PS_e7a"].data.gp["OutputData"]["TrialOutputData"]$$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="datatable with training patterns"; init_from=NULL; }; ProgVar @["trl_group"] { name="trl_group"; var_type=T_Object; object_type=DataTable; object_val=.projects["BG_4s_inhib_PS_e7a"].data.gp["AnalysisData"]["TrialOutputData_Group"]$$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="datatable with training patterns"; init_from=NULL; }; ProgVar @["stats"] { name="stats"; var_type=T_Object; object_type=DataTable; object_val=.projects["BG_4s_inhib_PS_e7a"].data.gp["AnalysisData"]["Stats"]$$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="datatable with training patterns"; init_from=NULL; }; ProgVar @["max_batch"] { name="max_batch"; var_type=T_Int; int_val=50; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="maximum number of batch runs to perform"; init_from=NULL; }; ProgVar @["num_intact_snc_units"] { name="num_intact_snc_units"; var_type=T_Int; int_val=4; flags=NULL_CHECK|SAVE_VAL|EDIT_VAL; reference=0; desc=; init_from=NULL; }; }; functions { name="functions"; el_typ=Function; el_def=0; }; init_code { name="init_code"; el_typ=ProgCode; el_def=0; NetCounterInit @[0] { desc="initializes local batch counter and batch field on network"; flags=0; code_string="Net Counter Init: batch"; pre_compile_code_string="Net Counter Init: batch"; network_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraBatch"].vars["network"]$$; local_ctr_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraBatch"].vars["batch"]$$; counter=Network::batch; update_after=0; }; If @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="if (meds==true)"; pre_compile_code_string="if (meds==true)"; cond { expr="meds==true"; }; true_code { name=; el_typ=ProgCode; el_def=0; AssignExpr @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="DA_dip_val = .025"; pre_compile_code_string="DA_dip_val = .025"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraBatch"].vars["DA_dip_val"]$$; expr { expr=".025"; }; }; OtherProgramVar @[1] { desc=; flags=0; code_string="Vars To: program=DA_Rew_Punish set=to var_1=DA_dip_val var_2=? var_3=? var_4=? "; pre_compile_code_string="Vars To: program=DA_Rew_Punish set=to var_1=DA_dip_val var_2=? var_3=? var_4=? "; other_prog=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"]$$; set_other=1; var_1=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraBatch"].vars["DA_dip_val"]$; var_2=NULL; var_3=NULL; var_4=NULL; }; }; }; Else @[2] { desc=; flags=CAN_REVERT_TO_CODE; code_string="else"; pre_compile_code_string="else"; else_code { name="else_code"; el_typ=ProgCode; el_def=0; AssignExpr @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="DA_dip_val = 0"; pre_compile_code_string="DA_dip_val = 0"; result_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraBatch"].vars["DA_dip_val"]$; expr { expr="0"; }; }; OtherProgramVar @[1] { desc=; flags=0; code_string="Vars To: program=DA_Rew_Punish set=to var_1=DA_dip_val var_2=? var_3=? var_4=? "; pre_compile_code_string="Vars To: program=DA_Rew_Punish set=to var_1=DA_dip_val var_2=? var_3=? var_4=? "; other_prog=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"]$; set_other=1; var_1=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraBatch"].vars["DA_dip_val"]$; var_2=NULL; var_3=NULL; var_4=NULL; }; }; }; }; prog_code { name="prog_code"; el_typ=ProgCode; el_def=0; NetCounterInit @[0] { desc="initializes local batch counter and batch field on network"; flags=CAN_REVERT_TO_CODE; code_string="Net Counter Init: batch"; pre_compile_code_string="Net Counter Init: batch"; network_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraBatch"].vars["network"]$; local_ctr_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraBatch"].vars["batch"]$; counter=Network::batch; update_after=0; }; WhileLoop @[1] { desc="the main loop over training runs"; flags=CAN_REVERT_TO_CODE; code_string="while (batch < max_batch)"; pre_compile_code_string="while (batch < max_batch)"; loop_code { name=; el_typ=ProgCode; el_def=0; ProgramCall @[0] { desc="run the training program -- sets the network and input_data args"; flags=CAN_REVERT_TO_CODE; code_string="LeabraTrain(network, input_data, FlatNetwork, true)"; pre_compile_code_string="LeabraTrain(network, input_data, FlatNetwork, true)"; prog_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=LeabraNetwork; type="LeabraNetwork*"; name="network"; required=1; def_val=; prev_expr=; expr { expr="network"; }; }; ProgArg @[1] { arg_type=DataTable; type="DataTable*"; name="input_data"; required=1; def_val=; prev_expr=; expr { expr="input_data"; }; }; ProgArg @[2] { arg_type=LeabraNetwork; type="LeabraNetwork*"; name="FlatNetwork"; required=1; def_val=; prev_expr=; expr { expr="FlatNetwork"; }; }; ProgArg @[3] { arg_type=bool; type="bool"; name="no_prompts"; required=1; def_val=; prev_expr=; expr { expr="true"; }; }; }; target=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"]$$; targ_ld_init="*LeabraTrain*"; }; NetCounterIncr @[1] { desc="increment the local batch counter and copy to network"; flags=CAN_REVERT_TO_CODE; code_string="Net Counter Incr: batch"; pre_compile_code_string="Net Counter Incr: batch"; network_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraBatch"].vars["network"]$; local_ctr_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraBatch"].vars["batch"]$; counter=Network::batch; update_after=0; }; }; test { expr="batch < max_batch"; }; }; DataSelectRowsProg @[2] { desc="filter out trials when no response gated (these are just noise)"; flags=CAN_REVERT_TO_CODE; code_string="SelectRows: src table = trl_out_data dest table = trl_out_data comb_op = AND"; pre_compile_code_string="SelectRows: src table = trl_out_data dest table = trl_out_data comb_op = AND"; src_data_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraBatch"].vars["trl_out_data"]$$; dest_data_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraBatch"].vars["trl_out_data"]$; select_spec { name="select_spec"; ops { name="ops"; el_typ=DataSelectEl; el_def=0; DataSelectEl @["minus_cycles_LESSTHAN__0"] { col_name="minus_cycles"; name="minus_cycles_LESSTHAN__0"; on=1; rel=LESSTHAN; use_var=0; cmp 2 0=150; var=NULL; enable_var=NULL; }; }; comb_op=AND; }; }; DataGroupProg @[3] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Group from: src table = trl_out_data dest table = trl_group"; pre_compile_code_string="Group from: src table = trl_out_data dest table = trl_group"; src_data_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraBatch"].vars["trl_out_data"]$; dest_data_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraBatch"].vars["trl_group"]$$; group_spec { name="group_spec"; ops { name="ops"; el_typ=DataGroupEl; el_def=0; DataGroupEl @["trial_name_GROUP_0"] { col_name="trial_name"; name="trial_name_GROUP_0"; agg {name="AggregateSpec_50": op=GROUP: rel={name="Relation_50": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; }; DataGroupEl @["batch_GROUP_1"] { col_name="batch"; name="batch_GROUP_1"; agg {name="AggregateSpec_50": op=GROUP: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; }; DataGroupEl @["r1_MEAN_2"] { col_name="r1"; name="r1_MEAN_2"; agg {name="AggregateSpec_50": op=MEAN: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; }; DataGroupEl @["r2_MEAN_3"] { col_name="r2"; name="r2_MEAN_3"; agg {name="AggregateSpec_50": op=MEAN: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; }; DataGroupEl @["r3_MEAN_4"] { col_name="r3"; name="r3_MEAN_4"; agg {name="AggregateSpec_50": op=MEAN: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; }; DataGroupEl @["r4_MEAN_5"] { col_name="r4"; name="r4_MEAN_5"; agg {name="AggregateSpec_50": op=MEAN: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; }; }; append_agg_name=1; }; }; DataGroupProg @[4] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Group from: src table = trl_group dest table = stats"; pre_compile_code_string="Group from: src table = trl_group dest table = stats"; src_data_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraBatch"].vars["trl_group"]$; dest_data_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraBatch"].vars["stats"]$$; group_spec { name="group_spec"; ops { name="ops"; el_typ=DataGroupEl; el_def=0; DataGroupEl @["trial_name_group_GROUP_0"] { col_name="trial_name_group"; name="trial_name_group_GROUP_0"; agg {name="AggregateSpec_50": op=GROUP: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; }; DataGroupEl @["r1_mean_MEAN_1"] { col_name="r1_mean"; name="r1_mean_MEAN_1"; agg {name="AggregateSpec_50": op=MEAN: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; }; DataGroupEl @["r1_mean_SEM_2"] { col_name="r1_mean"; name="r1_mean_SEM_2"; agg {name="AggregateSpec_50": op=SEM: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; }; DataGroupEl @["r2_mean_MEAN_3"] { col_name="r2_mean"; name="r2_mean_MEAN_3"; agg {name="AggregateSpec_50": op=MEAN: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; }; DataGroupEl @["r2_mean_SEM_4"] { col_name="r2_mean"; name="r2_mean_SEM_4"; agg {name="AggregateSpec_50": op=SEM: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; }; DataGroupEl @["r3_mean_MEAN_5"] { col_name="r3_mean"; name="r3_mean_MEAN_5"; agg {name="AggregateSpec_50": op=MEAN: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; }; DataGroupEl @["r3_mean_SEM_6"] { col_name="r3_mean"; name="r3_mean_SEM_6"; agg {name="AggregateSpec_50": op=SEM: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; }; DataGroupEl @["r4_mean_MEAN_7"] { col_name="r4_mean"; name="r4_mean_MEAN_7"; agg {name="AggregateSpec_50": op=MEAN: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; }; DataGroupEl @["r4_mean_SEM_8"] { col_name="r4_mean"; name="r4_mean_SEM_8"; agg {name="AggregateSpec_50": op=SEM: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; }; }; append_agg_name=1; }; }; }; step_prog=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraSettle"]$$; step_n=1; doc { name="doc"; desc=; web_doc=0; wiki=; url="local"; full_url="local"; text_size=1; text=; html_text=; }; }; Program @["LeabraTrain"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserData_DocLink; el_def=0; UserData_DocLink @["DocLink"] { name="DocLink"; doc=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].doc$$; }; }; name="LeabraTrain"; short_nm="Train"; tags="Leabra, Std"; desc="A complete training run of a Leabra network: iterating over epochs until the network has learned the task"; version { major=0; minor=0; step=0; }; author=; email=; flags=0; stop_step_cond { expr=; }; objs { name="objs"; el_typ=RndSeed; el_def=0; RndSeed @["rnd_seed"] { name="rnd_seed"; rnd_seed=1517222851; }; }; types { name="types"; el_typ=DynEnumType; el_def=0; DynEnumType @["RndInitType"] { name="RndInitType"; desc=; enums { name=; el_typ=DynEnumItem; el_def=0; DynEnumItem @["OLD_SEED"] { name="OLD_SEED"; value=0; desc="use stored random seed value (recreates same sequence every time)"; }; DynEnumItem @["NEW_SEED"] { name="NEW_SEED"; value=1; desc="generate new random seed (new sequence of random numbers)"; }; }; bits=0; }; }; args { name="args"; el_typ=ProgVar; el_def=0; ProgVar @["network"] { name="network"; var_type=T_Object; object_type=LeabraNetwork; object_val=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL|PGRM_ARG; reference=0; desc="network to train"; init_from=NULL; }; ProgVar @["input_data"] { name="input_data"; var_type=T_Object; object_type=DataTable; object_val=$.projects["BG_4s_inhib_PS_e7a"].data.gp["InputData"]["StdInputData"]$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL|PGRM_ARG; reference=0; desc="datatable with training patterns"; init_from=NULL; }; ProgVar @["FlatNetwork"] { name="FlatNetwork"; var_type=T_Object; object_type=LeabraNetwork; object_val=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL|PGRM_ARG; reference=0; desc="network to operate on -- updates batch counter on network and passes it to train program"; init_from=NULL; }; ProgVar @["no_prompts"] { name="no_prompts"; var_type=T_Bool; bool_val=1; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL|PGRM_ARG; reference=0; desc="If train is called by other programs (e.g., Batch), they should set this to true -- otherwise it is reset to false in Init"; init_from=NULL; }; }; vars { name="vars"; el_typ=ProgVar; el_def=0; ProgVar @["max_epoch"] { name="max_epoch"; var_type=T_Int; int_val=60; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="maximum number of epochs to run for learning phase"; init_from=NULL; }; ProgVar @["max_epoch_reel"] { name="max_epoch_reel"; var_type=T_Int; int_val=60; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="epochs run in learning phase up to criterion of 5 epochs in a row without errors"; init_from=NULL; }; ProgVar @["train_mode"] { name="train_mode"; var_type=T_HardEnum; int_val=1; hard_enum_type=Network::TrainMode; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="TRAIN = update weights (learn), TEST = just record network's responses but don't learn"; init_from=NULL; }; ProgVar @["test_mode"] { name="test_mode"; var_type=T_HardEnum; int_val=0; hard_enum_type=Network::TrainMode; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="TRAIN = update weights (learn), TEST = just record network's responses but don't learn"; init_from=NULL; }; ProgVar @["rnd_init"] { name="rnd_init"; var_type=T_DynEnum; dyn_enum_val { user_data_=NULL; enum_type=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].types["RndInitType"]$$; value=0; }; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="how to initialize the random numbers when the Init button is pressed"; init_from=NULL; }; ProgVar @["epoch"] { name="epoch"; var_type=T_Int; int_val=60; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="current epoch -- local copy, which is used to update network's epoch counter"; init_from=NULL; }; ProgVar @["inside_epoch"] { name="inside_epoch"; var_type=T_Int; int_val=59; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="current epoch -- local copy, which is used to update network's epoch counter"; init_from=NULL; }; ProgVar @["err_stopcrit"] { name="err_stopcrit"; var_type=T_Real; real_val=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="stopping criterion -- when error measure (count of trials with a non-zero error by defult) goes <= this value, stop training (set to -1 to disable stopping criterion, and always train to max_epoch epochs)"; init_from=NULL; }; ProgVar @["rnd_seed"] { name="rnd_seed"; var_type=T_Object; object_type=RndSeed; object_val=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].objs["rnd_seed"]$$; objs_ptr=1; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="random seed that is used at start of training -- if OldSeed is called"; init_from=NULL; }; ProgVar @["Test"] { name="Test"; var_type=T_Object; object_type=DataTable; object_val=.projects["BG_4s_inhib_PS_e7a"].data.gp["InputData"]["TransferInputData"]$$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="Transfer phase input data table"; init_from=NULL; }; ProgVar @["train_timer"] { name="train_timer"; var_type=T_Object; object_type=TimeUsed; object_val=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].train_time$$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="records time used to train network (object lives on network -- this is a pointer to it)"; init_from=NULL; }; ProgVar @["j"] { name="j"; var_type=T_Int; int_val=36; flags=NULL_CHECK|SAVE_VAL|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["i"] { name="i"; var_type=T_Int; int_val=4; flags=NULL_CHECK|SAVE_VAL|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["periode"] { name="periode"; var_type=T_Int; int_val=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["num_intact_snc_units"] { name="num_intact_snc_units"; var_type=T_Int; int_val=4; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["snc_size"] { name="snc_size"; var_type=T_Int; int_val=4; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["SNc"] { name="SNc"; var_type=T_Object; object_type=LeabraLayer; object_val=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["SNc"]$$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["StdInputData"] { name="StdInputData"; var_type=T_Object; object_type=DataTable; object_val=$.projects["BG_4s_inhib_PS_e7a"].data.gp["InputData"]["StdInputData"]$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["SuccessiveCor"] { name="SuccessiveCor"; var_type=T_Real; real_val=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="Number of successive no error epochs to end learning phase"; init_from=NULL; }; ProgVar @["d2"] { name="d2"; var_type=T_Object; object_type=LeabraConSpec; object_val=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["SNc_Inhib_D2_"]$$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["d1"] { name="d1"; var_type=T_Object; object_type=LeabraConSpec; object_val=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["Dopamine_D1_"]$$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["inGo"] { name="inGo"; var_type=T_Object; object_type=LeabraConSpec; object_val=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["Fixed"].children["InputStriatum"]$$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="lr"; init_from=NULL; }; ProgVar @["inNoGO"] { name="inNoGO"; var_type=T_Object; object_type=LeabraConSpec; object_val=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["Fixed"].children["inNoGO"]$$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="lr"; init_from=NULL; }; }; functions { name="functions"; el_typ=Function; el_def=0; }; init_code { name="init_code"; el_typ=ProgCode; el_def=0; AssignExpr @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="no_prompts = false"; pre_compile_code_string="no_prompts = false"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].args["no_prompts"]$$; expr { expr="false"; }; }; MethodCall @[1] { desc="check network to make sure it is ready to be run"; flags=0; code_string="network->CheckConfig(false)"; pre_compile_code_string="network->CheckConfig(false)"; result_var=NULL; obj=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].args["network"]$$; method=taBase::CheckConfig; meth_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=bool; type="bool"; name="quiet"; required=0; def_val="false"; prev_expr=; expr { expr="false"; }; }; }; meth_sig="bool CheckConfig(bool quiet = false)"; meth_desc=" check the configuration of this object and all its children (defaults to no confirm of success)"; }; AssignExpr @[2] { desc="get our pointer to the network training time object"; flags=CAN_REVERT_TO_CODE; code_string="train_timer = network.train_time"; pre_compile_code_string="train_timer = network.train_time"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].vars["train_timer"]$$; expr { expr="network.train_time"; }; }; NetCounterInit @[3] { desc="initialize epoch counter "; flags=0; code_string="Net Counter Init: epoch"; pre_compile_code_string="Net Counter Init: epoch"; network_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].args["network"]$; local_ctr_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].vars["epoch"]$$; counter=Network::epoch; update_after=0; }; If @[4] { desc="initialize random seed (either old or new)"; flags=CAN_REVERT_TO_CODE; code_string="if (rnd_init == OLD_SEED)"; pre_compile_code_string="if (rnd_init == OLD_SEED)"; cond { expr="rnd_init == OLD_SEED"; }; true_code { name=; el_typ=ProgCode; el_def=0; MethodCall @[0] { desc="restore previous random seed (all runs produce same results)"; flags=0; code_string="rnd_seed->OldSeed()"; pre_compile_code_string="rnd_seed->OldSeed()"; result_var=NULL; obj=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].vars["rnd_seed"]$$; method=RndSeed::OldSeed; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="void OldSeed()"; meth_desc=" restore current seed to random num generator"; }; }; }; Else @[5] { desc=; flags=CAN_REVERT_TO_CODE; code_string="else"; pre_compile_code_string="else"; else_code { name="else_code"; el_typ=ProgCode; el_def=0; MethodCall @[0] { desc="new random numbers each time"; flags=0; code_string="rnd_seed->NewSeed()"; pre_compile_code_string="rnd_seed->NewSeed()"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].vars["rnd_seed"]$; method=RndSeed::NewSeed; meth_args { name="meth_args"; el_typ=ProgArg; el_def=0; }; meth_sig="void NewSeed()"; meth_desc=" set the seed to a new random value (based on time and process id)"; }; }; }; IfGuiPrompt @[6] { desc="don't initialize weights without checking"; flags=CAN_REVERT_TO_CODE; code_string="if (gui && \"Do you want to Initialize Network Weights\")"; pre_compile_code_string="if (gui && \"Do you want to Initialize Network Weights\")"; prompt="Do you want to Initialize Network Weights"; yes_label="Yes"; no_label="No"; yes_code { name=; el_typ=ProgCode; el_def=0; MethodCall @[0] { desc="initialize network weights: could also load pre-set weights or something else here"; flags=0; code_string="network->Init_Weights()"; pre_compile_code_string="network->Init_Weights()"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].args["network"]$; method=Network::Init_Weights; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="void Init_Weights()"; meth_desc=" Initialize the weights -- also inits acts, counters and stats"; }; PrintExpr @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Print network.name << \" Weights Initialized\""; pre_compile_code_string="Print network.name << \" Weights Initialized\""; expr { expr="network.name << \" Weights Initialized\""; }; debug=0; }; }; }; }; prog_code { name="prog_code"; el_typ=ProgCode; el_def=0; LocalVars @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="LocalVars (5 vars)"; pre_compile_code_string="LocalVars (5 vars)"; local_vars { name="ProgVar_List_0"; el_typ=ProgVar; el_def=0; ProgVar @["d2_acq"] { name="d2_acq"; var_type=T_Real; real_val=0.075; flags=LOCAL_VAR|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["d1_acq"] { name="d1_acq"; var_type=T_Real; real_val=0.6; flags=LOCAL_VAR|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["d2_perf"] { name="d2_perf"; var_type=T_Real; real_val=0.075; flags=LOCAL_VAR|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["d1_perf"] { name="d1_perf"; var_type=T_Real; real_val=0.6; flags=LOCAL_VAR|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["d2_acq_4"] { name="d2_acq_4"; var_type=T_Real; real_val=0; flags=LOCAL_VAR|EDIT_VAL; reference=0; desc=; init_from=NULL; }; }; }; AssignExpr @[1] { desc="get our pointer to the network training time object"; flags=CAN_REVERT_TO_CODE; code_string="train_timer = network.train_time"; pre_compile_code_string="train_timer = network.train_time"; result_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].vars["train_timer"]$; expr { expr="network.train_time"; }; }; MethodCall @[2] { desc="start timer to keep track of how long it takes to run entire training run"; flags=CAN_REVERT_TO_CODE; code_string="train_timer->StartTimer(true)"; pre_compile_code_string="train_timer->StartTimer(true)"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].vars["train_timer"]$; method=TimeUsed::StartTimer; meth_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=bool; type="bool"; name="reset_used"; required=0; def_val="true"; prev_expr=; expr { expr="true"; }; }; }; meth_sig="void StartTimer(bool reset_used = true)"; meth_desc=" record the current time as the starting time, and optionally reset the time used information"; }; NetCounterInit @[3] { desc="initialize epoch counter (both our local copy and network's epoch counter)"; flags=CAN_REVERT_TO_CODE; code_string="Net Counter Init: epoch"; pre_compile_code_string="Net Counter Init: epoch"; network_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].args["network"]$; local_ctr_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].vars["epoch"]$; counter=Network::epoch; update_after=0; }; MemberAssign @[4] { desc="set network's training mode to our local value"; flags=CAN_REVERT_TO_CODE; code_string="network.train_mode = train_mode"; pre_compile_code_string="network.train_mode = train_mode"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].args["network"]$; path="train_mode"; expr { expr="train_mode"; }; update_after=0; }; If @[5] { desc=; flags=CAN_REVERT_TO_CODE; code_string="if (no_prompts)"; pre_compile_code_string="if (no_prompts)"; cond { expr="no_prompts"; }; true_code { name=; el_typ=ProgCode; el_def=0; MethodCall @[0] { desc="initialize network weights: could also load pre-set weights or something else here"; flags=CAN_REVERT_TO_CODE; code_string="network->Init_Weights()"; pre_compile_code_string="network->Init_Weights()"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].args["network"]$; method=Network::Init_Weights; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="void Init_Weights()"; meth_desc=" Initialize the weights -- also inits acts, counters and stats"; }; PrintExpr @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Print network.name << \" Weights Initialized\""; pre_compile_code_string="Print network.name << \" Weights Initialized\""; expr { expr="network.name << \" Weights Initialized\""; }; debug=0; }; }; }; AssignExpr @[6] { desc=; flags=CAN_REVERT_TO_CODE; code_string="snc_size = SNc.units.size"; pre_compile_code_string="snc_size = SNc.units.size"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].vars["snc_size"]$$; expr { expr="SNc.units.size"; }; }; OtherProgramVar @[7] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Vars To: program=DA_Rew_Punish set=to var_1=num_intact_snc_units var_2=? var_3=? var_4=? "; pre_compile_code_string="Vars To: program=DA_Rew_Punish set=to var_1=num_intact_snc_units var_2=? var_3=? var_4=? "; other_prog=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"]$; set_other=1; var_1=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].vars["num_intact_snc_units"]$; var_2=NULL; var_3=NULL; var_4=NULL; }; AssignExpr @[8] { desc=; flags=CAN_REVERT_TO_CODE; code_string="periode = 0"; pre_compile_code_string="periode = 0"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].vars["periode"]$$; expr { expr="0"; }; }; AssignExpr @[9] { desc=; flags=CAN_REVERT_TO_CODE; code_string="max_epoch_reel = max_epoch"; pre_compile_code_string="max_epoch_reel = max_epoch"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].vars["max_epoch_reel"]$$; expr { expr="max_epoch"; }; }; MemberAssign @[10] { desc="set plus phase acts to reflect minus phase response"; flags=CAN_REVERT_TO_CODE; code_string="d2.wt_scale.abs = d2_acq"; pre_compile_code_string="d2.wt_scale.abs = d2_acq"; obj=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].vars["d2"]$$; path="wt_scale.abs"; expr { expr="d2_acq"; }; update_after=0; }; MemberAssign @[11] { desc="set plus phase acts to reflect minus phase response"; flags=CAN_REVERT_TO_CODE; code_string="d1.wt_scale.abs = d1_acq"; pre_compile_code_string="d1.wt_scale.abs = d1_acq"; obj=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].vars["d1"]$$; path="wt_scale.abs"; expr { expr="d1_acq"; }; update_after=0; }; MemberAssign @[12] { desc="set plus phase acts to reflect minus phase response"; flags=CAN_REVERT_TO_CODE; code_string="inGo.lrate = 0.05"; pre_compile_code_string="inGo.lrate = 0.05"; obj=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].vars["inGo"]$$; path="lrate"; expr { expr="0.05"; }; update_after=1; }; MemberAssign @[13] { desc="set plus phase acts to reflect minus phase response"; flags=CAN_REVERT_TO_CODE; code_string="inNoGO.lrate = 0.05"; pre_compile_code_string="inNoGO.lrate = 0.05"; obj=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].vars["inNoGO"]$$; path="lrate"; expr { expr="0.05"; }; update_after=1; }; WhileLoop @[14] { desc="main loop over epochs of training"; flags=CAN_REVERT_TO_CODE; code_string="while (epoch < 0.5*max_epoch)"; pre_compile_code_string="while (epoch < 0.5*max_epoch)"; loop_code { name=; el_typ=ProgCode; el_def=0; MemberAssign @[0] { desc="set network's training mode to our local value"; flags=CAN_REVERT_TO_CODE; code_string="network.train_mode = train_mode"; pre_compile_code_string="network.train_mode = train_mode"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].args["network"]$; path="train_mode"; expr { expr="train_mode"; }; update_after=0; }; AssignExpr @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="inside_epoch = epoch"; pre_compile_code_string="inside_epoch = epoch"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].vars["inside_epoch"]$$; expr { expr="epoch"; }; }; ProgramCall @[2] { desc="run the epoch program (one epoch), passes our network and input_data"; flags=CAN_REVERT_TO_CODE; code_string="LeabraEpoch(network, periode, FlatNetwork, input_data)"; pre_compile_code_string="LeabraEpoch(network, periode, FlatNetwork, input_data)"; prog_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=LeabraNetwork; type="LeabraNetwork*"; name="network"; required=1; def_val=; prev_expr=; expr { expr="network"; }; }; ProgArg @[1] { arg_type=int; type="int"; name="periode"; required=1; def_val=; prev_expr=; expr { expr="periode"; }; }; ProgArg @[2] { arg_type=LeabraNetwork; type="LeabraNetwork*"; name="FlatNetwork"; required=1; def_val=; prev_expr=; expr { expr="FlatNetwork"; }; }; ProgArg @[3] { arg_type=DataTable; type="DataTable*"; name="input_data"; required=1; def_val=; prev_expr=; expr { expr="input_data"; }; }; }; target=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpoch"]$$; targ_ld_init="*LeabraEpoch*"; }; NetCounterIncr @[3] { desc="increment the epoch counter (locally and on network)"; flags=CAN_REVERT_TO_CODE; code_string="Net Counter Incr: epoch"; pre_compile_code_string="Net Counter Incr: epoch"; network_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].args["network"]$; local_ctr_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].vars["epoch"]$; counter=Network::epoch; update_after=0; }; If @[4] { desc=; flags=CAN_REVERT_TO_CODE; code_string="if (network.cnt_err <= err_stopcrit)"; pre_compile_code_string="if (network.cnt_err <= err_stopcrit)"; cond { expr="network.cnt_err <= err_stopcrit"; }; true_code { name=; el_typ=ProgCode; el_def=0; AssignExpr @[0] { desc="Compter le nombre d'epoques correctes successives"; flags=CAN_REVERT_TO_CODE; code_string="SuccessiveCor = SuccessiveCor+1"; pre_compile_code_string="SuccessiveCor = SuccessiveCor+1"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].vars["SuccessiveCor"]$$; expr { expr="SuccessiveCor+1"; }; }; }; }; Else @[5] { desc=; flags=CAN_REVERT_TO_CODE; code_string="else"; pre_compile_code_string="else"; else_code { name="else_code"; el_typ=ProgCode; el_def=0; AssignExpr @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="SuccessiveCor = 0"; pre_compile_code_string="SuccessiveCor = 0"; result_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].vars["SuccessiveCor"]$; expr { expr="0"; }; }; }; }; MemberAssign @[6] { desc="set network's training mode to our local value"; flags=CAN_REVERT_TO_CODE; code_string="network.train_mode = test_mode"; pre_compile_code_string="network.train_mode = test_mode"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].args["network"]$; path="train_mode"; expr { expr="test_mode"; }; update_after=0; }; }; test { expr="epoch < 0.5*max_epoch"; }; }; MemberAssign @[15] { desc="set plus phase acts to reflect minus phase response"; flags=CAN_REVERT_TO_CODE; code_string="d2.wt_scale.abs = d2_perf"; pre_compile_code_string="d2.wt_scale.abs = d2_perf"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].vars["d2"]$; path="wt_scale.abs"; expr { expr="d2_perf"; }; update_after=0; }; MemberAssign @[16] { desc="set plus phase acts to reflect minus phase response"; flags=CAN_REVERT_TO_CODE; code_string="d1.wt_scale.abs = d1_perf"; pre_compile_code_string="d1.wt_scale.abs = d1_perf"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].vars["d1"]$; path="wt_scale.abs"; expr { expr="d1_perf"; }; update_after=0; }; WhileLoop @[17] { desc="main loop over epochs of training"; flags=CAN_REVERT_TO_CODE; code_string="while (epoch < max_epoch)"; pre_compile_code_string="while (epoch < max_epoch)"; loop_code { name=; el_typ=ProgCode; el_def=0; MemberAssign @[0] { desc="set network's training mode to our local value"; flags=CAN_REVERT_TO_CODE; code_string="network.train_mode = test_mode"; pre_compile_code_string="network.train_mode = test_mode"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].args["network"]$; path="train_mode"; expr { expr="test_mode"; }; update_after=0; }; AssignExpr @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="inside_epoch = epoch"; pre_compile_code_string="inside_epoch = epoch"; result_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].vars["inside_epoch"]$; expr { expr="epoch"; }; }; ProgramCall @[2] { desc="run the epoch program (one epoch), passes our network and input_data"; flags=CAN_REVERT_TO_CODE; code_string="LeabraEpoch(network, periode, FlatNetwork, Test)"; pre_compile_code_string="LeabraEpoch(network, periode, FlatNetwork, Test)"; prog_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=LeabraNetwork; type="LeabraNetwork*"; name="network"; required=1; def_val=; prev_expr=; expr { expr="network"; }; }; ProgArg @[1] { arg_type=int; type="int"; name="periode"; required=1; def_val=; prev_expr=; expr { expr="periode"; }; }; ProgArg @[2] { arg_type=LeabraNetwork; type="LeabraNetwork*"; name="FlatNetwork"; required=1; def_val=; prev_expr=; expr { expr="FlatNetwork"; }; }; ProgArg @[3] { arg_type=DataTable; type="DataTable*"; name="input_data"; required=1; def_val=; prev_expr=; expr { expr="Test"; }; }; }; target=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpoch"]$; targ_ld_init="*LeabraEpoch*"; }; NetCounterIncr @[3] { desc="increment the epoch counter (locally and on network)"; flags=CAN_REVERT_TO_CODE; code_string="Net Counter Incr: epoch"; pre_compile_code_string="Net Counter Incr: epoch"; network_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].args["network"]$; local_ctr_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].vars["epoch"]$; counter=Network::epoch; update_after=0; }; MemberAssign @[4] { desc="set network's training mode to our local value"; flags=CAN_REVERT_TO_CODE; code_string="network.train_mode = test_mode"; pre_compile_code_string="network.train_mode = test_mode"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].args["network"]$; path="train_mode"; expr { expr="test_mode"; }; update_after=0; }; }; test { expr="epoch < max_epoch"; }; }; MethodCall @[18] { desc="stop the timer -- time elapsed is now recorded in this object, and can be displayed or recorded to a data table"; flags=CAN_REVERT_TO_CODE; code_string="train_timer->EndTimer()"; pre_compile_code_string="train_timer->EndTimer()"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrain"].vars["train_timer"]$; method=TimeUsed::EndTimer; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="void EndTimer()"; meth_desc=" record the current time as the ending time, and compute difference as the time used"; }; }; step_prog=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraSettle"]$; step_n=1; doc { name="doc"; desc=; web_doc=0; wiki=; url="local"; full_url="local"; text_size=1; text=; html_text=; }; }; Program @["LeabraEpoch"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserData_DocLink; el_def=0; UserData_DocLink @["DocLink"] { name="DocLink"; doc=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpoch"].doc$$; }; }; name="LeabraEpoch"; short_nm="Epoch"; tags="Leabra, Std"; desc="iterates over all of the items in a data table and calls LeabraTrial process on them"; version { major=0; minor=0; step=0; }; author=; email=; flags=0; stop_step_cond { expr=; }; objs { name="objs"; el_typ=taNBase; el_def=0; }; types { name="types"; el_typ=DynEnumType; el_def=0; }; args { name="args"; el_typ=ProgVar; el_def=0; ProgVar @["network"] { name="network"; var_type=T_Object; object_type=LeabraNetwork; object_val=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; objs_ptr=0; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL|PGRM_ARG; reference=0; desc="network to operate on"; init_from=NULL; }; ProgVar @["periode"] { name="periode"; var_type=T_Int; int_val=0; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|EDIT_VAL|PGRM_ARG; reference=0; desc="current experimental phase: 0 = learning, 1 = transfer, 2 = new overlap, 3 = new incongruent, 4 = asymptotic noisy"; init_from=NULL; }; ProgVar @["FlatNetwork"] { name="FlatNetwork"; var_type=T_Object; object_type=LeabraNetwork; object_val=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL|PGRM_ARG; reference=0; desc="network to operate on -- updates batch counter on network and passes it to train program"; init_from=NULL; }; ProgVar @["input_data"] { name="input_data"; var_type=T_Object; object_type=DataTable; object_val=$.projects["BG_4s_inhib_PS_e7a"].data.gp["InputData"]["TransferInputData"]$; objs_ptr=0; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL|PGRM_ARG; reference=0; desc="table of patterns to present to the network, one row at a time"; init_from=NULL; }; }; vars { name="vars"; el_typ=ProgVar; el_def=0; ProgVar @["data_loop_order"] { name="data_loop_order"; var_type=T_HardEnum; int_val=1; hard_enum_type=DataLoop::Order; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="controls the order in which events (rows of the input data datatable) are presented to the network (SEQUENTIAL, PERMUTED, RANDOM)"; init_from=NULL; }; ProgVar @["trial"] { name="trial"; var_type=T_Int; int_val=1; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="current trial (event) within the epoch -- increments automatically"; init_from=NULL; }; ProgVar @["trial_mon_data"] { name="trial_mon_data"; var_type=T_Object; object_type=DataTable; object_val=$.projects["BG_4s_inhib_PS_e7a"].data.gp["OutputData"]["TrialOutputData"]$; objs_ptr=0; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="trial-level output data -- we reset it every epoch by default, so it just contains last epoch of data"; init_from=NULL; }; ProgVar @["epoch_timer"] { name="epoch_timer"; var_type=T_Object; object_type=TimeUsed; object_val=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].epoch_time$$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="timer to record time required to perform one epoch of processing (object is on network -- this is a pointer to it)"; init_from=NULL; }; ProgVar @["data_loop_index"] { name="data_loop_index"; var_type=T_Int; int_val=2; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="index counter for the looping over items in the input_data datatable (not always the same as trial counter, depending on distributed memory computation)"; init_from=NULL; }; ProgVar @["NLoops"] { name="NLoops"; var_type=T_Int; int_val=1; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|EDIT_VAL; reference=0; desc="batch counter"; init_from=NULL; }; }; functions { name="functions"; el_typ=Function; el_def=0; }; init_code { name="init_code"; el_typ=ProgCode; el_def=0; NetCounterInit @[0] { desc="initialize trial counter (local variable and in the network)"; flags=0; code_string="Net Counter Init: trial"; pre_compile_code_string="Net Counter Init: trial"; network_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpoch"].args["network"]$$; local_ctr_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpoch"].vars["trial"]$$; counter=Network::trial; update_after=0; }; AssignExpr @[1] { desc="get pointer to epoch timer object on network"; flags=CAN_REVERT_TO_CODE; code_string="epoch_timer = network.epoch_time"; pre_compile_code_string="epoch_timer = network.epoch_time"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpoch"].vars["epoch_timer"]$$; expr { expr="network.epoch_time"; }; }; }; prog_code { name="prog_code"; el_typ=ProgCode; el_def=0; NetCounterInit @[0] { desc="initialize trial counter (local variable and in the network)"; flags=CAN_REVERT_TO_CODE; code_string="Net Counter Init: trial"; pre_compile_code_string="Net Counter Init: trial"; network_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpoch"].args["network"]$; local_ctr_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpoch"].vars["trial"]$; counter=Network::trial; update_after=0; }; AssignExpr @[1] { desc="get pointer to epoch timer object on network"; flags=CAN_REVERT_TO_CODE; code_string="epoch_timer = network.epoch_time"; pre_compile_code_string="epoch_timer = network.epoch_time"; result_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpoch"].vars["epoch_timer"]$; expr { expr="network.epoch_time"; }; }; MethodCall @[2] { desc="start the epoch timer to record computation time per epoch"; flags=CAN_REVERT_TO_CODE; code_string="epoch_timer->StartTimer(true)"; pre_compile_code_string="epoch_timer->StartTimer(true)"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpoch"].vars["epoch_timer"]$; method=TimeUsed::StartTimer; meth_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=bool; type="bool"; name="reset_used"; required=0; def_val="true"; prev_expr=; expr { expr="true"; }; }; }; meth_sig="void StartTimer(bool reset_used = true)"; meth_desc=" record the current time as the starting time, and optionally reset the time used information"; }; MethodCall @[3] { desc="reset trial-level monitor data every epoch, so it reflects only the most recent epoch's worth of data (turn flags OFF to accumulate trial data across entire training run)"; flags=OFF|CAN_REVERT_TO_CODE; code_string="trial_mon_data->ResetData()"; pre_compile_code_string="trial_mon_data->ResetData()"; result_var=NULL; obj=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpoch"].vars["trial_mon_data"]$$; method=DataTable::ResetData; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="void ResetData()"; meth_desc=" deletes all the data (rows), but keeps the column structure -- this cannot be undone!"; }; NetDataLoop @[4] { desc="iterates over the events/rows of input_data, according to data_loop_order variable"; flags=CAN_REVERT_TO_CODE; code_string="Net Data Loop (PERMUTED): table=input_data index=data_loop_index order_var=data_loop_order "; pre_compile_code_string="Net Data Loop (PERMUTED): table=input_data index=data_loop_index order_var=data_loop_order "; loop_code { name=; el_typ=ProgCode; el_def=0; ProgramCall @[0] { desc="run the trial program, passing network and input_data"; flags=CAN_REVERT_TO_CODE; code_string="LeabraTrial(network, input_data, FlatNetwork)"; pre_compile_code_string="LeabraTrial(network, input_data, FlatNetwork)"; prog_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=LeabraNetwork; type="LeabraNetwork*"; name="network"; required=1; def_val=; prev_expr=; expr { expr="network"; }; }; ProgArg @[1] { arg_type=DataTable; type="DataTable*"; name="input_data"; required=1; def_val=; prev_expr=; expr { expr="input_data"; }; }; ProgArg @[2] { arg_type=LeabraNetwork; type="LeabraNetwork*"; name="FlatNetwork"; required=1; def_val=; prev_expr=; expr { expr="FlatNetwork"; }; }; }; target=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"]$$; targ_ld_init="*LeabraTrial*"; }; If @[1] { desc="test if it is time to update the weight values from delta weights (dWt) computed over trials"; flags=CAN_REVERT_TO_CODE; code_string="if (network.Compute_Weights_Test(trial+1))"; pre_compile_code_string="if (network.Compute_Weights_Test(trial+1))"; cond { expr="network.Compute_Weights_Test(trial+1)"; }; true_code { name=; el_typ=ProgCode; el_def=0; MethodCall @[0] { desc="update the weight values based on changes computed by trial program"; flags=CAN_REVERT_TO_CODE; code_string="network->Compute_Weights()"; pre_compile_code_string="network->Compute_Weights()"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpoch"].args["network"]$; method=Network::Compute_Weights; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="void Compute_Weights()"; meth_desc=" update weights for whole net: calls DMem_SumDWts before doing update if in dmem mode"; }; }; }; }; data_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpoch"].args["input_data"]$$; index_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpoch"].vars["data_loop_index"]$$; order_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpoch"].vars["data_loop_order"]$$; order=PERMUTED; update_after=0; dmem_nprocs=1; dmem_this_proc=0; grouped=0; group_col 9 0="Group"; group_index_var=NULL; group_order_var=NULL; group_order=PERMUTED; group_idx_list{ }; }; If @[5] { desc="if full batch mode, update only at end of epoch"; flags=CAN_REVERT_TO_CODE; code_string="if (network.wt_update == Network::BATCH)"; pre_compile_code_string="if (network.wt_update == Network::BATCH)"; cond { expr="network.wt_update == Network::BATCH"; }; true_code { name=; el_typ=ProgCode; el_def=0; MethodCall @[0] { desc="final update of weights based on accumulated changes"; flags=CAN_REVERT_TO_CODE; code_string="network->Compute_Weights()"; pre_compile_code_string="network->Compute_Weights()"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpoch"].args["network"]$; method=Network::Compute_Weights; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="void Compute_Weights()"; meth_desc=" update weights for whole net: calls DMem_SumDWts before doing update if in dmem mode"; }; }; }; MethodCall @[6] { desc="network accumulates some core statistics over the epoch -- this finalizes that process and computes summary stats"; flags=CAN_REVERT_TO_CODE; code_string="network->Compute_EpochStats()"; pre_compile_code_string="network->Compute_EpochStats()"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpoch"].args["network"]$; method=LeabraNetwork::Compute_EpochStats; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="void Compute_EpochStats()"; meth_desc=" compute epoch-level statistics; calls DMem_ComputeAggs (if dmem) and EpochSSE -- specific algos may add more compute epoch-level statistics, including SSE, AvgExtRew and AvgCycles"; }; ProgramCall @[7] { desc="run program that records data from network and possibly other sources about the epoch"; flags=CAN_REVERT_TO_CODE; code_string="LeabraEpochMonitor_10(network, FlatNetwork)"; pre_compile_code_string="LeabraEpochMonitor_10(network, FlatNetwork)"; prog_args { name="ProgArg_List_0"; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=Network; type="LeabraNetwork*"; name="network"; required=1; def_val=; prev_expr=; expr { expr="network"; }; }; ProgArg @[1] { arg_type=LeabraNetwork; type="LeabraNetwork*"; name="FlatNetwork"; required=1; def_val=; prev_expr=; expr { expr="FlatNetwork"; }; }; }; target=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpochMonitor_10"]$$; targ_ld_init="*LeabraEpochMonitor*"; }; MethodCall @[8] { desc="done with the computation in the epoch -- record time it took"; flags=CAN_REVERT_TO_CODE; code_string="epoch_timer->EndTimer()"; pre_compile_code_string="epoch_timer->EndTimer()"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpoch"].vars["epoch_timer"]$; method=TimeUsed::EndTimer; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="void EndTimer()"; meth_desc=" record the current time as the ending time, and compute difference as the time used"; }; }; step_prog=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraSettle"]$; step_n=5; doc { name="doc"; desc=; web_doc=0; wiki=; url="local"; full_url="local"; text_size=1; text=; html_text=; }; }; Program @["LeabraTrial"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserData_DocLink; el_def=0; UserData_DocLink @["DocLink"] { name="DocLink"; doc=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].doc$$; }; }; name="LeabraTrial"; short_nm="Trial"; tags="Leabra, Std"; desc="Leabra processing of a single input/toutput event or external information: typically runs a minus and a plus phase, then learns (unless testing)"; version { major=0; minor=0; step=0; }; author=; email=; flags=0; stop_step_cond { expr=; }; objs { name="objs"; el_typ=taNBase; el_def=0; }; types { name="types"; el_typ=DynEnumType; el_def=0; }; args { name="args"; el_typ=ProgVar; el_def=0; ProgVar @["network"] { name="network"; var_type=T_Object; object_type=LeabraNetwork; object_val=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL|PGRM_ARG; reference=0; desc="network to operate on -- typically set by higher-level calling programs"; init_from=NULL; }; ProgVar @["input_data"] { name="input_data"; var_type=T_Object; object_type=DataTable; object_val=$.projects["BG_4s_inhib_PS_e7a"].data.gp["InputData"]["TransferInputData"]$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL|PGRM_ARG; reference=0; desc="datatable containing training input/output patterns"; init_from=NULL; }; ProgVar @["FlatNetwork"] { name="FlatNetwork"; var_type=T_Object; object_type=LeabraNetwork; object_val=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL|PGRM_ARG; reference=0; desc="network to operate on -- updates batch counter on network and passes it to train program"; init_from=NULL; }; }; vars { name="vars"; el_typ=ProgVar; el_def=0; ProgVar @["phase_no"] { name="phase_no"; var_type=T_Int; int_val=1; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="local phase counting variable (0 is typically minus phase, 1 is typically plus -- depends on network settings)"; init_from=NULL; }; ProgVar @["update_net_view"] { name="update_net_view"; var_type=T_Bool; bool_val=1; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="determines whether to update any network view displays after trial is completed"; init_from=NULL; }; ProgVar @["NLoops"] { name="NLoops"; var_type=T_Int; int_val=1; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|EDIT_VAL; reference=0; desc="batch counter"; init_from=NULL; }; ProgVar @["actM0"] { name="actM0"; var_type=T_Real; real_val=0.00304851122200489; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["Motor_Cortex"] { name="Motor_Cortex"; var_type=T_Object; object_type=LeabraLayer; object_val=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["PMC"]$$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["actM1"] { name="actM1"; var_type=T_Real; real_val=0.1971741318702698; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["actM2"] { name="actM2"; var_type=T_Real; real_val=0.8771076202392578; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["actM3"] { name="actM3"; var_type=T_Real; real_val=0.002235397696495056; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["actM4"] { name="actM4"; var_type=T_Real; real_val=0.0004378691664896905; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["actM5"] { name="actM5"; var_type=T_Real; real_val=0.3188992142677307; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["actM6"] { name="actM6"; var_type=T_Real; real_val=0.8478431105613708; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["actM7"] { name="actM7"; var_type=T_Real; real_val=0.001403427799232304; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["action"] { name="action"; var_type=T_Int; int_val=3; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["r1"] { name="r1"; var_type=T_Int; int_val=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["r2"] { name="r2"; var_type=T_Int; int_val=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["r3"] { name="r3"; var_type=T_Int; int_val=1; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["r4"] { name="r4"; var_type=T_Int; int_val=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["Output"] { name="Output"; var_type=T_Object; object_type=LeabraLayer; object_val=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["Output"]$$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; }; functions { name="functions"; el_typ=Function; el_def=0; }; init_code { name="init_code"; el_typ=ProgCode; el_def=0; NetCounterInit @[0] { desc="initialize the local phase_no counter, and corresponding network one"; flags=0; code_string="Net Counter Init: phase_no"; pre_compile_code_string="Net Counter Init: phase_no"; network_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].args["network"]$$; local_ctr_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["phase_no"]$$; counter=LeabraNetwork::phase_no; update_after=0; }; }; prog_code { name="prog_code"; el_typ=ProgCode; el_def=0; NetCounterInit @[0] { desc="initialize the local phase_no counter, and corresponding network one"; flags=CAN_REVERT_TO_CODE; code_string="Net Counter Init: phase_no"; pre_compile_code_string="Net Counter Init: phase_no"; network_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].args["network"]$; local_ctr_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["phase_no"]$; counter=LeabraNetwork::phase_no; update_after=0; }; MethodCall @[1] { desc="initializes various counters at start of trial"; flags=CAN_REVERT_TO_CODE; code_string="network->Trial_Init()"; pre_compile_code_string="network->Trial_Init()"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].args["network"]$; method=LeabraNetwork::Trial_Init; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="void Trial_Init()"; meth_desc=" initialize at start of trial (SetCurLrate, set phase_max, Decay state)"; }; WhileLoop @[2] { desc="loop over phases of settling in the network"; flags=CAN_REVERT_TO_CODE; code_string="while (phase_no < network.phase_max)"; pre_compile_code_string="while (phase_no < network.phase_max)"; loop_code { name=; el_typ=ProgCode; el_def=0; ProgramCall @[0] { desc="run the settle program (which iterates over cyles of network activation updating) for each phase"; flags=CAN_REVERT_TO_CODE; code_string="LeabraSettle(network, FlatNetwork, input_data)"; pre_compile_code_string="LeabraSettle(network, FlatNetwork, input_data)"; prog_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=LeabraNetwork; type="LeabraNetwork*"; name="network"; required=1; def_val=; prev_expr=; expr { expr="network"; }; }; ProgArg @[1] { arg_type=LeabraNetwork; type="LeabraNetwork*"; name="FlatNetwork"; required=1; def_val=; prev_expr=; expr { expr="FlatNetwork"; }; }; ProgArg @[2] { arg_type=DataTable; type="DataTable*"; name="input_data"; required=1; def_val=; prev_expr=; expr { expr="input_data"; }; }; }; target=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraSettle"]$; targ_ld_init="*LeabraSettle*"; }; NetCounterIncr @[1] { desc="increment the phase number (also on network)"; flags=CAN_REVERT_TO_CODE; code_string="Net Counter Incr: phase_no"; pre_compile_code_string="Net Counter Incr: phase_no"; network_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].args["network"]$; local_ctr_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["phase_no"]$; counter=LeabraNetwork::phase_no; update_after=0; }; MethodCall @[2] { desc="increments other phase state information to prepare for the next phase of settling"; flags=CAN_REVERT_TO_CODE; code_string="network->Trial_UpdatePhase()"; pre_compile_code_string="network->Trial_UpdatePhase()"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].args["network"]$; method=LeabraNetwork::Trial_UpdatePhase; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="void Trial_UpdatePhase()"; meth_desc=" update phase based on phase_no -- typically called by program in Trial loop over settling"; }; }; test { expr="phase_no < network.phase_max"; }; }; AssignExpr @[3] { desc="store minus phase activation of motor ctx unit 0"; flags=CAN_REVERT_TO_CODE; code_string="actM0 = Motor_Cortex.units[0].act_m"; pre_compile_code_string="actM0 = Motor_Cortex.units[0].act_m"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["actM0"]$$; expr { expr="Motor_Cortex.units[0].act_m"; }; }; AssignExpr @[4] { desc="store minus phase act of motor unit 1"; flags=CAN_REVERT_TO_CODE; code_string="actM1 = Motor_Cortex.units[1].act_m"; pre_compile_code_string="actM1 = Motor_Cortex.units[1].act_m"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["actM1"]$$; expr { expr="Motor_Cortex.units[1].act_m"; }; }; AssignExpr @[5] { desc="store minus phase activation of motor ctx unit 0"; flags=CAN_REVERT_TO_CODE; code_string="actM2 = Motor_Cortex.units[2].act_m"; pre_compile_code_string="actM2 = Motor_Cortex.units[2].act_m"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["actM2"]$$; expr { expr="Motor_Cortex.units[2].act_m"; }; }; AssignExpr @[6] { desc="store minus phase act of motor unit 1"; flags=CAN_REVERT_TO_CODE; code_string="actM3 = Motor_Cortex.units[3].act_m"; pre_compile_code_string="actM3 = Motor_Cortex.units[3].act_m"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["actM3"]$$; expr { expr="Motor_Cortex.units[3].act_m"; }; }; AssignExpr @[7] { desc="store minus phase activation of motor ctx unit 0"; flags=CAN_REVERT_TO_CODE; code_string="actM4 = Motor_Cortex.units[4].act_m"; pre_compile_code_string="actM4 = Motor_Cortex.units[4].act_m"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["actM4"]$$; expr { expr="Motor_Cortex.units[4].act_m"; }; }; AssignExpr @[8] { desc="store minus phase act of motor unit 1"; flags=CAN_REVERT_TO_CODE; code_string="actM5 = Motor_Cortex.units[5].act_m"; pre_compile_code_string="actM5 = Motor_Cortex.units[5].act_m"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["actM5"]$$; expr { expr="Motor_Cortex.units[5].act_m"; }; }; AssignExpr @[9] { desc="store minus phase act of motor unit 1"; flags=CAN_REVERT_TO_CODE; code_string="actM6 = Motor_Cortex.units[6].act_m"; pre_compile_code_string="actM6 = Motor_Cortex.units[6].act_m"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["actM6"]$$; expr { expr="Motor_Cortex.units[6].act_m"; }; }; AssignExpr @[10] { desc="store minus phase act of motor unit 1"; flags=CAN_REVERT_TO_CODE; code_string="actM7 = Motor_Cortex.units[7].act_m"; pre_compile_code_string="actM7 = Motor_Cortex.units[7].act_m"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["actM7"]$$; expr { expr="Motor_Cortex.units[7].act_m"; }; }; AssignExpr @[11] { desc="TS"; flags=CAN_REVERT_TO_CODE; code_string="action = 0"; pre_compile_code_string="action = 0"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["action"]$$; expr { expr="0"; }; }; AssignExpr @[12] { desc="TS"; flags=CAN_REVERT_TO_CODE; code_string="r1 = 0"; pre_compile_code_string="r1 = 0"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["r1"]$$; expr { expr="0"; }; }; AssignExpr @[13] { desc="TS"; flags=CAN_REVERT_TO_CODE; code_string="r2 = 0"; pre_compile_code_string="r2 = 0"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["r2"]$$; expr { expr="0"; }; }; AssignExpr @[14] { desc="TS"; flags=CAN_REVERT_TO_CODE; code_string="r3 = 0"; pre_compile_code_string="r3 = 0"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["r3"]$$; expr { expr="0"; }; }; AssignExpr @[15] { desc="TS"; flags=CAN_REVERT_TO_CODE; code_string="r4 = 0"; pre_compile_code_string="r4 = 0"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["r4"]$$; expr { expr="0"; }; }; If @[16] { desc=; flags=CAN_REVERT_TO_CODE; code_string="if (Output.acts.max >0)"; pre_compile_code_string="if (Output.acts.max >0)"; cond { expr="Output.acts.max >0"; }; true_code { name="ProgEl_List_190"; el_typ=ProgCode; el_def=0; If @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="if (( actM0+actM4 > actM1+actM5) & ( actM0+actM4 > actM2+actM6) & ( actM0+actM4 > actM3+actM7))"; pre_compile_code_string="if (( actM0+actM4 > actM1+actM5) & ( actM0+actM4 > actM2+actM6) & ( actM0+actM4 > actM3+actM7))"; cond { expr="( actM0+actM4 > actM1+actM5) & ( actM0+actM4 > actM2+actM6) & ( actM0+actM4 > actM3+actM7)"; }; true_code { name=; el_typ=ProgCode; el_def=0; AssignExpr @[0] { desc="TS"; flags=CAN_REVERT_TO_CODE; code_string="action = 1"; pre_compile_code_string="action = 1"; result_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["action"]$; expr { expr="1"; }; }; AssignExpr @[1] { desc="TS"; flags=CAN_REVERT_TO_CODE; code_string="r1 = 1"; pre_compile_code_string="r1 = 1"; result_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["r1"]$; expr { expr="1"; }; }; }; }; Else @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="else"; pre_compile_code_string="else"; else_code { name="else_code"; el_typ=ProgCode; el_def=0; If @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="if (( actM1+actM5 > actM0+actM4) & ( actM1+actM5 > actM2+actM6) & ( actM1+actM5 > actM3+actM7))"; pre_compile_code_string="if (( actM1+actM5 > actM0+actM4) & ( actM1+actM5 > actM2+actM6) & ( actM1+actM5 > actM3+actM7))"; cond { expr="( actM1+actM5 > actM0+actM4) & ( actM1+actM5 > actM2+actM6) & ( actM1+actM5 > actM3+actM7)"; }; true_code { name="true_code"; el_typ=ProgCode; el_def=0; AssignExpr @[0] { desc="TS"; flags=CAN_REVERT_TO_CODE; code_string="action = 2"; pre_compile_code_string="action = 2"; result_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["action"]$; expr { expr="2"; }; }; AssignExpr @[1] { desc="TS"; flags=CAN_REVERT_TO_CODE; code_string="r2 = 1"; pre_compile_code_string="r2 = 1"; result_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["r2"]$; expr { expr="1"; }; }; }; }; Else @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="else"; pre_compile_code_string="else"; else_code { name="else_code"; el_typ=ProgCode; el_def=0; If @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="if (( actM2+actM6 > actM0+actM4) & ( actM2+actM6 > actM1+actM5) & ( actM2+actM6 > actM3+actM7))"; pre_compile_code_string="if (( actM2+actM6 > actM0+actM4) & ( actM2+actM6 > actM1+actM5) & ( actM2+actM6 > actM3+actM7))"; cond { expr="( actM2+actM6 > actM0+actM4) & ( actM2+actM6 > actM1+actM5) & ( actM2+actM6 > actM3+actM7)"; }; true_code { name="true_code"; el_typ=ProgCode; el_def=0; AssignExpr @[0] { desc="TS"; flags=CAN_REVERT_TO_CODE; code_string="action = 3"; pre_compile_code_string="action = 3"; result_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["action"]$; expr { expr="3"; }; }; AssignExpr @[1] { desc="TS"; flags=CAN_REVERT_TO_CODE; code_string="r3 = 1"; pre_compile_code_string="r3 = 1"; result_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["r3"]$; expr { expr="1"; }; }; }; }; Else @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="else"; pre_compile_code_string="else"; else_code { name="else_code"; el_typ=ProgCode; el_def=0; AssignExpr @[0] { desc="TS"; flags=CAN_REVERT_TO_CODE; code_string="action = 4"; pre_compile_code_string="action = 4"; result_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["action"]$; expr { expr="4"; }; }; AssignExpr @[1] { desc="TS"; flags=CAN_REVERT_TO_CODE; code_string="r4 = 1"; pre_compile_code_string="r4 = 1"; result_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["r4"]$; expr { expr="1"; }; }; }; }; }; }; }; }; }; }; MethodCall @[17] { desc="after the trial is over, do final computations: Compute_dWt (learn weights), compute stats"; flags=CAN_REVERT_TO_CODE; code_string="network->Trial_Final()"; pre_compile_code_string="network->Trial_Final()"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].args["network"]$; method=LeabraNetwork::Trial_Final; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="void Trial_Final()"; meth_desc=" do final processing after trial (Compute_dWt, EncodeState)"; }; ProgramCall @[18] { desc="records data about the trial-level processing to a datatable for graphing/processing"; flags=CAN_REVERT_TO_CODE; code_string="LeabraTrialMonitor(network)"; pre_compile_code_string="LeabraTrialMonitor(network)"; prog_args { name="ProgArg_List_0"; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=Network; type="LeabraNetwork*"; name="network"; required=1; def_val=; prev_expr=; expr { expr="network"; }; }; }; target=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrialMonitor"]$$; targ_ld_init="*LeabraTrialMonitor*"; }; NetUpdateView @[19] { desc="update the network view(s) (only if update_net_view is true)"; flags=CAN_REVERT_TO_CODE; code_string="Net Update View"; pre_compile_code_string="Net Update View"; network_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].args["network"]$; update_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["update_net_view"]$$; }; }; step_prog=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraCycle"]$$; step_n=1; doc { name="doc"; desc=; web_doc=0; wiki=; url="local"; full_url="local"; text_size=1; text=; html_text=; }; }; Program @["LeabraSettle"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserData_DocLink; el_def=0; UserData_DocLink @["DocLink"] { name="DocLink"; doc=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraSettle"].doc$$; }; }; name="LeabraSettle"; short_nm="Settle"; tags="Leabra, Std"; desc="iterates over cycles of updating until network has settled into a stable state, or output activations have exceeded a threshold"; version { major=0; minor=0; step=0; }; author=; email=; flags=0; stop_step_cond { expr=; }; objs { name="objs"; el_typ=taNBase; el_def=0; }; types { name="types"; el_typ=DynEnumType; el_def=0; }; args { name="args"; el_typ=ProgVar; el_def=0; ProgVar @["network"] { name="network"; var_type=T_Object; object_type=LeabraNetwork; object_val=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL|PGRM_ARG; reference=0; desc=; init_from=NULL; }; ProgVar @["FlatNetwork"] { name="FlatNetwork"; var_type=T_Object; object_type=LeabraNetwork; object_val=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL|PGRM_ARG; reference=0; desc="network to operate on -- updates batch counter on network and passes it to train program"; init_from=NULL; }; ProgVar @["input_data"] { name="input_data"; var_type=T_Object; object_type=DataTable; object_val=$.projects["BG_4s_inhib_PS_e7a"].data.gp["InputData"]["TransferInputData"]$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL|PGRM_ARG; reference=0; desc=; init_from=NULL; }; }; vars { name="vars"; el_typ=ProgVar; el_def=0; ProgVar @["cycle"] { name="cycle"; var_type=T_Int; int_val=130; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="current cycle of settling (local loop counter)"; init_from=NULL; }; ProgVar @["min_cycles"] { name="min_cycles"; var_type=T_Int; int_val=15; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="IMPORTANT: this value is obtained from the network min_cycles and min_cycles_phase2 -- change the value on the network object, not here in this program! sets the minimum number of cycles to settle for, regardless of network state changes, etc"; init_from=NULL; }; ProgVar @["update_net_view"] { name="update_net_view"; var_type=T_Bool; bool_val=1; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="if true, will update network views at end of settling"; init_from=NULL; }; ProgVar @["Output"] { name="Output"; var_type=T_Object; object_type=LeabraLayer; object_val=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["Output"]$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["Thal"] { name="Thal"; var_type=T_Object; object_type=LeabraLayer; object_val=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["Thalamus"]$$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["NLoops"] { name="NLoops"; var_type=T_Int; int_val=1; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|EDIT_VAL; reference=0; desc="batch counter"; init_from=NULL; }; }; functions { name="functions"; el_typ=Function; el_def=0; }; init_code { name="init_code"; el_typ=ProgCode; el_def=0; NetCounterInit @[0] { desc="initialize local cycle counter and corresponding counter on network"; flags=0; code_string="Net Counter Init: cycle"; pre_compile_code_string="Net Counter Init: cycle"; network_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraSettle"].args["network"]$$; local_ctr_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraSettle"].vars["cycle"]$$; counter=Network::cycle; update_after=0; }; }; prog_code { name="prog_code"; el_typ=ProgCode; el_def=0; NetCounterInit @[0] { desc="initialize local cycle counter and corresponding counter on network"; flags=CAN_REVERT_TO_CODE; code_string="Net Counter Init: cycle"; pre_compile_code_string="Net Counter Init: cycle"; network_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraSettle"].args["network"]$; local_ctr_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraSettle"].vars["cycle"]$; counter=Network::cycle; update_after=0; }; AssignExpr @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Output = network.layers.Output"; pre_compile_code_string="Output = network.layers.Output"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraSettle"].vars["Output"]$$; expr { expr="network.layers.Output"; }; }; AssignExpr @[2] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Thal = network.layers.Thalamus"; pre_compile_code_string="Thal = network.layers.Thalamus"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraSettle"].vars["Thal"]$$; expr { expr="network.layers.Thalamus"; }; }; MethodCall @[3] { desc="resets input data, before getting new external inputs data from apply inputs call"; flags=CAN_REVERT_TO_CODE; code_string="network->Init_InputData()"; pre_compile_code_string="network->Init_InputData()"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraSettle"].args["network"]$; method=Network::Init_InputData; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="void Init_InputData()"; meth_desc=" Initializes external and target inputs"; }; ProgramCall @[4] { desc="apply external input activations from the input_data table to the network this program can be extended to do arbitrary things to generate data and apply it to network layers"; flags=CAN_REVERT_TO_CODE; code_string="ApplyInput1(FlatNetwork, input_data)"; pre_compile_code_string="ApplyInput1(FlatNetwork, input_data)"; prog_args { name="ProgArg_List_0"; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=Network; type="LeabraNetwork*"; name="network"; required=1; def_val=; prev_expr=; expr { expr="FlatNetwork"; }; }; ProgArg @[1] { arg_type=DataTable; type="DataTable*"; name="input_data"; required=1; def_val=; prev_expr=; expr { expr="input_data"; }; }; }; target=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["ApplyInput1"]$$; targ_ld_init="*ApplyInput1*"; }; ProgramCall @[5] { desc=; flags=CAN_REVERT_TO_CODE; code_string="DA_Rew_Punish(network, input_data)"; pre_compile_code_string="DA_Rew_Punish(network, input_data)"; prog_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=LeabraNetwork; type="LeabraNetwork*"; name="network"; required=1; def_val=; prev_expr=; expr { expr="network"; }; }; ProgArg @[1] { arg_type=DataTable; type="DataTable*"; name="input_data"; required=1; def_val=; prev_expr=; expr { expr="input_data"; }; }; }; target=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"]$; targ_ld_init="*DA_Rew_Punish*"; }; If @[6] { desc=; flags=CAN_REVERT_TO_CODE; code_string="if (network.phase == LeabraNetwork::PLUS_PHASE)"; pre_compile_code_string="if (network.phase == LeabraNetwork::PLUS_PHASE)"; cond { expr="network.phase == LeabraNetwork::PLUS_PHASE"; }; true_code { name=; el_typ=ProgCode; el_def=0; MemberAssign @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="network.cycle_max = 30"; pre_compile_code_string="network.cycle_max = 30"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraSettle"].args["network"]$; path="cycle_max"; expr { expr="30"; }; update_after=0; }; }; }; Else @[7] { desc=; flags=CAN_REVERT_TO_CODE; code_string="else"; pre_compile_code_string="else"; else_code { name="else_code"; el_typ=ProgCode; el_def=0; MemberAssign @[0] { desc="number of cycles to run in minus phase"; flags=CAN_REVERT_TO_CODE; code_string="network.cycle_max = 150"; pre_compile_code_string="network.cycle_max = 150"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraSettle"].args["network"]$; path="cycle_max"; expr { expr="150"; }; update_after=0; }; }; }; MethodCall @[8] { desc="initializes various counters at start of settling"; flags=CAN_REVERT_TO_CODE; code_string="network->Settle_Init()"; pre_compile_code_string="network->Settle_Init()"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraSettle"].args["network"]$; method=LeabraNetwork::Settle_Init; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="void Settle_Init()"; meth_desc=" initialize network for settle-level processing (decay, active k, hard clamp, netscale)"; }; If @[9] { desc="get appropriate min_cycles value depending on which phase we're in"; flags=CAN_REVERT_TO_CODE; code_string="if (network.phase_no <= 1)"; pre_compile_code_string="if (network.phase_no <= 1)"; cond { expr="network.phase_no <= 1"; }; true_code { name=; el_typ=ProgCode; el_def=0; AssignExpr @[0] { desc="get minimum number of cycles from parameter on network (which is where you should change this value!)"; flags=CAN_REVERT_TO_CODE; code_string="min_cycles = network.min_cycles"; pre_compile_code_string="min_cycles = network.min_cycles"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraSettle"].vars["min_cycles"]$$; expr { expr="network.min_cycles"; }; }; }; }; Else @[10] { desc=; flags=CAN_REVERT_TO_CODE; code_string="else"; pre_compile_code_string="else"; else_code { name="else_code"; el_typ=ProgCode; el_def=0; AssignExpr @[0] { desc="get minimum number of cycles from parameter on network (which is where you should change this value!)"; flags=CAN_REVERT_TO_CODE; code_string="min_cycles = network.min_cycles_phase2"; pre_compile_code_string="min_cycles = network.min_cycles_phase2"; result_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraSettle"].vars["min_cycles"]$; expr { expr="network.min_cycles_phase2"; }; }; }; }; WhileLoop @[11] { desc="the main loop over cycles of updating"; flags=CAN_REVERT_TO_CODE; code_string="while (cycle < network.cycle_max)"; pre_compile_code_string="while (cycle < network.cycle_max)"; loop_code { name=; el_typ=ProgCode; el_def=0; ProgramCall @[0] { desc="run the cycle program, which computes one cycle of activations"; flags=CAN_REVERT_TO_CODE; code_string="LeabraCycle(network)"; pre_compile_code_string="LeabraCycle(network)"; prog_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=LeabraNetwork; type="LeabraNetwork*"; name="network"; required=1; def_val=; prev_expr=; expr { expr="network"; }; }; }; target=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraCycle"]$; targ_ld_init="*LeabraCycle*"; }; NetCounterIncr @[1] { desc="increment cycle counter (also on network)"; flags=CAN_REVERT_TO_CODE; code_string="Net Counter Incr: cycle"; pre_compile_code_string="Net Counter Incr: cycle"; network_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraSettle"].args["network"]$; local_ctr_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraSettle"].vars["cycle"]$; counter=Network::cycle; update_after=0; }; IfContinue @[2] { desc="avoid subsequent stopping criteria if below min_cycles"; flags=CAN_REVERT_TO_CODE; code_string="if(cycle < min_cycles) continue"; pre_compile_code_string="if(cycle < min_cycles) continue"; cond { expr="cycle < min_cycles"; }; }; IfBreak @[3] { desc="stop settling when thal unit is 50% active (so average thal = .25)"; flags=OFF|CAN_REVERT_TO_CODE; code_string="if((network.phase == LeabraNetwork::MINUS_PHASE)&(Output.acts.avg > .25)&(Thal.acts.avg > .25)) break"; pre_compile_code_string="if((network.phase == LeabraNetwork::MINUS_PHASE)&(Output.acts.avg > .25)&(Thal.acts.avg > .25)) break"; cond { expr="(network.phase == LeabraNetwork::MINUS_PHASE)&(Output.acts.avg > .25)&(Thal.acts.avg > .25)"; }; }; If @[4] { desc=; flags=OFF|CAN_REVERT_TO_CODE; code_string="if ((network.phase == LeabraNetwork::MINUS_PHASE)&(Output.acts.max > .5)&(Thal.acts.max > .5))"; pre_compile_code_string="if ((network.phase == LeabraNetwork::MINUS_PHASE)&(Output.acts.max > .5)&(Thal.acts.max > .5))"; cond { expr="(network.phase == LeabraNetwork::MINUS_PHASE)&(Output.acts.max > .5)&(Thal.acts.max > .5)"; }; true_code { name=; el_typ=ProgCode; el_def=0; PrintExpr @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Print Output.acts.max"; pre_compile_code_string="Print Output.acts.max"; expr { expr="Output.acts.max"; }; debug=0; }; PrintExpr @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Print Thal.acts.max"; pre_compile_code_string="Print Thal.acts.max"; expr { expr="Thal.acts.max"; }; debug=0; }; }; }; IfBreak @[5] { desc="stop settling when thal unit is 50% active (so average thal = .25)"; flags=CAN_REVERT_TO_CODE; code_string="if((network.phase == LeabraNetwork::MINUS_PHASE)&(Output.acts.max > .5)&(Thal.acts.max > .5)) break"; pre_compile_code_string="if((network.phase == LeabraNetwork::MINUS_PHASE)&(Output.acts.max > .5)&(Thal.acts.max > .5)) break"; cond { expr="(network.phase == LeabraNetwork::MINUS_PHASE)&(Output.acts.max > .5)&(Thal.acts.max > .5)"; }; }; IfBreak @[6] { desc="stopping criterion for settling: based either on maximum change in activation (maxda) or on the maximum activation value in the network getting over threshold (which ever comes first). Set either parmeter to values that are always false (e.g., trg_max_act_stopcrit = -1) to eliminate associated source of criterion for stopping settling."; flags=OFF|CAN_REVERT_TO_CODE; code_string="if((network.maxda < network.maxda_stopcrit) || (network.trg_max_act > network.trg_max_act_stopcrit)) break"; pre_compile_code_string="if((network.maxda < network.maxda_stopcrit) || (network.trg_max_act > network.trg_max_act_stopcrit)) break"; cond { expr="(network.maxda < network.maxda_stopcrit) || (network.trg_max_act > network.trg_max_act_stopcrit)"; }; }; }; test { expr="cycle < network.cycle_max"; }; }; MethodCall @[12] { desc="perform final operations at end of settling (storing final activations, etc)"; flags=CAN_REVERT_TO_CODE; code_string="network->Settle_Final()"; pre_compile_code_string="network->Settle_Final()"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraSettle"].args["network"]$; method=LeabraNetwork::Settle_Final; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="void Settle_Final()"; meth_desc=" do final processing after settling (postsettle, Compute_dWt if needed)"; }; If @[13] { desc="only run trial-level statistics in minus phase (otherwise network may have correct answer clamped on!). IMPORTANT: this assumes that you've got target activation values for output layers already presented in the minus phase -- if this is not the case (values are computed on the fly), you may want to run this instead at the start of the plus phase, after ApplyInputs"; flags=CAN_REVERT_TO_CODE; code_string="if (network.phase == LeabraNetwork::MINUS_PHASE)"; pre_compile_code_string="if (network.phase == LeabraNetwork::MINUS_PHASE)"; cond { expr="network.phase == LeabraNetwork::MINUS_PHASE"; }; true_code { name=; el_typ=ProgCode; el_def=0; MethodCall @[0] { desc="compute trial-level statistics"; flags=CAN_REVERT_TO_CODE; code_string="network->Compute_TrialStats()"; pre_compile_code_string="network->Compute_TrialStats()"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraSettle"].args["network"]$; method=LeabraNetwork::Compute_TrialStats; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="void Compute_TrialStats()"; meth_desc=" compute trial-level statistics (SSE and others defined by specific algorithms) compute trial-level statistics, including SSE and minus cycles -- to be called at end of minus phase -- use Compute_TrialStats_Test() to determine when -- HOWEVER: it is much better to call Compute_PhaseStats(), which calls Compute_MinusStats() and Compute_PlustStats() separately at end of each phase (respectively) to get the appropriate stats at each point"; }; }; }; If @[14] { desc="this stat must be called in plus phase when reward information is avail"; flags=CAN_REVERT_TO_CODE; code_string="if (network.phase_no == 1)"; pre_compile_code_string="if (network.phase_no == 1)"; cond { expr="network.phase_no == 1"; }; true_code { name=; el_typ=ProgCode; el_def=0; MethodCall @[0] { desc="get external reward information"; flags=CAN_REVERT_TO_CODE; code_string="network->Compute_ExtRew()"; pre_compile_code_string="network->Compute_ExtRew()"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraSettle"].args["network"]$; method=LeabraNetwork::Compute_ExtRew; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="void Compute_ExtRew()"; meth_desc=" compute external reward information: Must be called in plus phase (phase_no == 1)"; }; }; }; NetUpdateView @[15] { desc="update network views, if update_net_view == true"; flags=CAN_REVERT_TO_CODE; code_string="Net Update View"; pre_compile_code_string="Net Update View"; network_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraSettle"].args["network"]$; update_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraSettle"].vars["update_net_view"]$$; }; }; step_prog=NULL; step_n=1; doc { name="doc"; desc=; web_doc=0; wiki=; url="local"; full_url="local"; text_size=1; text=; html_text=; }; }; Program @["LeabraCycle"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserData_DocLink; el_def=0; UserData_DocLink @["DocLink"] { name="DocLink"; doc=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraCycle"].doc$$; }; }; name="LeabraCycle"; short_nm="Cycle"; tags="Leabra, Std"; desc="runs one cycle of leabra processing (updating net inputs and activations)"; version { major=0; minor=0; step=0; }; author=; email=; flags=0; stop_step_cond { expr=; }; objs { name="objs"; el_typ=taNBase; el_def=0; }; types { name="types"; el_typ=DynEnumType; el_def=0; }; args { name="args"; el_typ=ProgVar; el_def=0; ProgVar @["network"] { name="network"; var_type=T_Object; object_type=LeabraNetwork; object_val=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL|PGRM_ARG; reference=0; desc=; init_from=NULL; }; }; vars { name="vars"; el_typ=ProgVar; el_def=0; ProgVar @["update_net_view"] { name="update_net_view"; var_type=T_Bool; bool_val=1; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="determines whether network views will be updated on a cycle-by-cycle basis (slow, but often quite useful for seeing how processing is proceeding)"; init_from=NULL; }; ProgVar @["i"] { name="i"; var_type=T_Int; int_val=12; flags=NULL_CHECK|SAVE_VAL|EDIT_VAL; reference=0; desc=; init_from=NULL; }; }; functions { name="functions"; el_typ=Function; el_def=0; }; init_code { name="init_code"; el_typ=ProgCode; el_def=0; }; prog_code { name="prog_code"; el_typ=ProgCode; el_def=0; MethodCall @[0] { desc="this does all the standard leabra processing for one cycle of activation updating"; flags=CAN_REVERT_TO_CODE; code_string="network->Cycle_Run()"; pre_compile_code_string="network->Cycle_Run()"; result_var=NULL; obj=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraCycle"].args["network"]$$; method=LeabraNetwork::Cycle_Run; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="void Cycle_Run()"; meth_desc=" compute one cycle of updating: netinput, inhibition, activations"; }; NetUpdateView @[1] { desc="update network views if update_net_view == true"; flags=CAN_REVERT_TO_CODE; code_string="Net Update View"; pre_compile_code_string="Net Update View"; network_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraCycle"].args["network"]$; update_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraCycle"].vars["update_net_view"]$$; }; If @[2] { desc="apply phasic DA in plus phase"; flags=OFF|CAN_REVERT_TO_CODE; code_string="if (network.phase==LeabraNetwork::PLUS_PHASE)"; pre_compile_code_string="if (network.phase==LeabraNetwork::PLUS_PHASE)"; cond { expr="network.phase==LeabraNetwork::PLUS_PHASE"; }; true_code { name=; el_typ=ProgCode; el_def=0; ForLoop @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="for (i = 0; i < 12; i++)"; pre_compile_code_string="for (i = 0; i < 12; i++)"; loop_code { name=; el_typ=ProgCode; el_def=0; MemberAssign @[0] { desc="set plus phase acts to reflect minus phase response"; flags=CAN_REVERT_TO_CODE; code_string="object.units[i].act = InputHidden.units[i].act_m"; pre_compile_code_string="object.units[i].act = InputHidden.units[i].act_m"; obj=NULL; path="units[i].act"; expr { expr="InputHidden.units[i].act_m"; }; update_after=1; }; PrintExpr @[1] { desc=; flags=OFF|CAN_REVERT_TO_CODE; code_string="Print \"boum\""; pre_compile_code_string="Print \"boum\""; expr { expr="\"boum\""; }; debug=0; }; }; init { expr="i = 0"; }; test { expr="i < 12"; }; iter { expr="i++"; }; }; }; }; Else @[3] { desc=; flags=OFF|CAN_REVERT_TO_CODE; code_string="else"; pre_compile_code_string="else"; else_code { name="else_code"; el_typ=ProgCode; el_def=0; ForLoop @[0] { desc="loop over snc units"; flags=CAN_REVERT_TO_CODE; code_string="for (i = 0; i < 1; i++)"; pre_compile_code_string="for (i = 0; i < 1; i++)"; loop_code { name="loop_code"; el_typ=ProgCode; el_def=0; }; init { expr="i = 0"; }; test { expr="i < 1"; }; iter { expr="i++"; }; }; }; }; ProgramCall @[4] { desc=; flags=OFF|NON_STD|CAN_REVERT_TO_CODE; code_string="Cycle_Monitor(network)"; pre_compile_code_string="Cycle_Monitor(network)"; prog_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=Network; type="Network*"; name="network"; required=1; def_val=; prev_expr=; expr { expr="network"; }; }; }; target=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["Cycle_Monitor"]$$; targ_ld_init="*Cycle_Monitor*"; }; }; step_prog=NULL; step_n=5; doc { name="doc"; desc=; web_doc=0; wiki=; url="local"; full_url="local"; text_size=1; text=; html_text=; }; }; Program @["ApplyInput1"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserData_DocLink; el_def=0; UserData_DocLink @["DocLink"] { name="DocLink"; doc=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["ApplyInput1"].doc$$; }; }; name="ApplyInput1"; short_nm="pplynp"; tags="Network, InputData, Apply"; desc="apply the current input data to the network as external input and target values"; version { major=0; minor=0; step=0; }; author=; email=; flags=NO_STOP_STEP; stop_step_cond { expr=; }; objs { name="objs"; el_typ=LayerWriter; el_def=0; LayerWriter @["LayerWriter_0"] { name="LayerWriter_0"; data=$.projects["BG_4s_inhib_PS_e7a"].data.gp["InputData"]["TransferInputData"]$; network=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; layer_data { name="layer_data"; el_typ=LayerWriterEl; el_def=0; LayerWriterEl @[0] { col_name="Input"; net_target=LAYER; layer_name="Input"; offset {x=0: y=0: }; use_layer_type=1; quiet=0; na_by_range=0; ext_flags=EXT; noise {name="noise": type=NONE: mean=0: var=0.5: par=1: }; }; LayerWriterEl @[1] { col_name="Output"; net_target=LAYER; layer_name="Output"; offset {x=0: y=0: }; use_layer_type=1; quiet=0; na_by_range=0; ext_flags=TARG; noise {name="noise": type=NONE: mean=0: var=0.5: par=1: }; }; LayerWriterEl @[2] { col_name="SNc"; net_target=LAYER; layer_name="SNc"; offset {x=0: y=0: }; use_layer_type=1; quiet=0; na_by_range=0; ext_flags=EXT; noise {name="noise": type=NONE: mean=0: var=0.5: par=1: }; }; LayerWriterEl @[3] { col_name="Motor_Cortex"; net_target=LAYER; layer_name="PMC"; offset {x=0: y=0: }; use_layer_type=0; quiet=0; na_by_range=0; ext_flags=COMP; noise {name="noise": type=NONE: mean=0: var=0.5: par=1: }; }; LayerWriterEl @[4] { col_name="Name"; net_target=TRIAL_NAME; layer_name="Name"; offset {x=0: y=0: }; use_layer_type=1; quiet=0; na_by_range=0; ext_flags=0; noise {name="noise": type=NONE: mean=0: var=0.5: par=1: }; }; LayerWriterEl @[5] { col_name="Context"; net_target=LAYER; layer_name="Context"; offset {x=0: y=0: }; use_layer_type=1; quiet=0; na_by_range=0; ext_flags=EXT; noise {name="noise": type=NONE: mean=0: var=0.5: par=1: }; }; }; }; }; types { name="types"; el_typ=DynEnumType; el_def=0; }; args { name="args"; el_typ=ProgVar; el_def=0; ProgVar @["network"] { name="network"; var_type=T_Object; object_type=Network; object_val=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL|PGRM_ARG; reference=0; desc="network to apply inputs to -- typically set by calling program"; init_from=NULL; }; ProgVar @["input_data"] { name="input_data"; var_type=T_Object; object_type=DataTable; object_val=$.projects["BG_4s_inhib_PS_e7a"].data.gp["InputData"]["TransferInputData"]$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL|PGRM_ARG; reference=0; desc="input datatable containing input/output patterns"; init_from=NULL; }; }; vars { name="vars"; el_typ=ProgVar; el_def=0; ProgVar @["LayerWriter_0"] { name="LayerWriter_0"; var_type=T_Object; object_type=LayerWriter; object_val=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["ApplyInput1"].objs["LayerWriter_0"]$$; objs_ptr=1; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="this is a pointer to the LayerWriter object in objs -- edit that object to determine how information is presented to the network"; init_from=NULL; }; }; functions { name="functions"; el_typ=Function; el_def=0; }; init_code { name="init_code"; el_typ=ProgCode; el_def=0; MethodCall @[0] { desc="sets the datatable and network for the layer writer, so it knows what to write to"; flags=0; code_string="LayerWriter_0->SetDataNetwork(input_data, network)"; pre_compile_code_string="LayerWriter_0->SetDataNetwork(input_data, network)"; result_var=NULL; obj=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["ApplyInput1"].vars["LayerWriter_0"]$$; method=LayerWriter::SetDataNetwork; meth_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=DataTable_ptr; type="DataTable*"; name="db"; required=1; def_val=; prev_expr=; expr { expr="input_data"; }; }; ProgArg @[1] { arg_type=Network_ptr; type="Network*"; name="net"; required=1; def_val=; prev_expr=; expr { expr="network"; }; }; }; meth_sig="void SetDataNetwork(DataTable* db, Network* net)"; meth_desc=" set the data table and network pointers -- convenience function for programs "; }; MethodCall @[1] { desc="check the configuration of the layer writer -- will emit warnings and errors for missing or misconfigured items"; flags=0; code_string="LayerWriter_0->CheckConfig(false)"; pre_compile_code_string="LayerWriter_0->CheckConfig(false)"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["ApplyInput1"].vars["LayerWriter_0"]$; method=taList_impl::CheckConfig; meth_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=bool; type="bool"; name="quiet"; required=0; def_val="false"; prev_expr=; expr { expr="false"; }; }; }; meth_sig="bool CheckConfig(bool quiet = false)"; meth_desc=" check the configuration of this object and all its children (defaults to no confirm of success)"; }; }; prog_code { name="prog_code"; el_typ=ProgCode; el_def=0; MethodCall @[0] { desc="sets the datatable and network for the layer writer, so it knows what to write to"; flags=CAN_REVERT_TO_CODE; code_string="LayerWriter_0->SetDataNetwork(input_data, network)"; pre_compile_code_string="LayerWriter_0->SetDataNetwork(input_data, network)"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["ApplyInput1"].vars["LayerWriter_0"]$; method=LayerWriter::SetDataNetwork; meth_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=DataTable_ptr; type="DataTable*"; name="db"; required=1; def_val=; prev_expr=; expr { expr="input_data"; }; }; ProgArg @[1] { arg_type=Network_ptr; type="Network*"; name="net"; required=1; def_val=; prev_expr=; expr { expr="network"; }; }; }; meth_sig="void SetDataNetwork(DataTable* db, Network* net)"; meth_desc=" set the data table and network pointers -- convenience function for programs "; }; MethodCall @[1] { desc="apply inputs to the network! layer writer has all the key specs"; flags=CAN_REVERT_TO_CODE; code_string="LayerWriter_0->ApplyInputData()"; pre_compile_code_string="LayerWriter_0->ApplyInputData()"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["ApplyInput1"].vars["LayerWriter_0"]$; method=LayerWriter::ApplyInputData; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="bool ApplyInputData()"; meth_desc=" apply data to the layers, using the network's current context settings (TEST,TRAIN,etc) -- returns success"; }; }; step_prog=NULL; step_n=1; doc { name="doc"; desc=; web_doc=0; wiki=; url="local"; full_url="local"; text_size=1; text=; html_text=; }; }; Program @["LeabraTrialMonitor"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserData_DocLink; el_def=0; UserData_DocLink @["DocLink"] { name="DocLink"; doc=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrialMonitor"].doc$$; }; }; name="LeabraTrialMonitor"; short_nm="TrMntF"; tags="Leabra, Std, Monitor"; desc="monitor trial-level data from the network (and potentially other sources) -- stores results in datatable (TrialOutputData typically) that can be used for graph/grid views and further analysis "; version { major=0; minor=0; step=0; }; author=; email=; flags=NO_STOP_STEP; stop_step_cond { expr=; }; objs { name="objs"; el_typ=NetMonitor; el_def=0; NetMonitor @["trial_netmon"] { name="trial_netmon"; items { name="items"; el_typ=NetMonItem; el_def=0; NetMonItem @["batch"] { name="batch"; computed=0; object_type=LeabraNetwork; object=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; variable="batch"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["epoch"] { name="epoch"; computed=0; object_type=LeabraNetwork; object=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; variable="epoch"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["trial_name"] { name="trial_name"; computed=0; object_type=LeabraNetwork; object=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; variable="trial_name"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["minus_cycles"] { name="minus_cycles"; computed=0; object_type=LeabraNetwork; object=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; variable="minus_cycles"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["r1"] { name="r1"; computed=0; object_type=ProgVar; object=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["r1"]$; variable="int_val"; var_label=; name_style=MY_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["r2"] { name="r2"; computed=0; object_type=ProgVar; object=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["r2"]$; variable="int_val"; var_label=; name_style=MY_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["r3"] { name="r3"; computed=0; object_type=ProgVar; object=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["r3"]$; variable="int_val"; var_label=; name_style=MY_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["r4"] { name="r4"; computed=0; object_type=ProgVar; object=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrial"].vars["r4"]$; variable="int_val"; var_label=; name_style=MY_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; }; network=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; data=$.projects["BG_4s_inhib_PS_e7a"].data.gp["OutputData"]["TrialOutputData"]$; rmv_orphan_cols=1; }; }; types { name="types"; el_typ=DynEnumType; el_def=0; }; args { name="args"; el_typ=ProgVar; el_def=0; ProgVar @["network"] { name="network"; var_type=T_Object; object_type=Network; object_val=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL|PGRM_ARG; reference=0; desc="network to record data from"; init_from=NULL; }; }; vars { name="vars"; el_typ=ProgVar; el_def=0; ProgVar @["trial_mon_data"] { name="trial_mon_data"; var_type=T_Object; object_type=DataTable; object_val=$.projects["BG_4s_inhib_PS_e7a"].data.gp["OutputData"]["TrialOutputData"]$; objs_ptr=0; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="data table to record trial-level data to (this program writes new data to this table!)"; init_from=NULL; }; ProgVar @["trial_netmon"] { name="trial_netmon"; var_type=T_Object; object_type=NetMonitor; object_val=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrialMonitor"].objs["trial_netmon"]$$; objs_ptr=1; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="pointer to the NetMonitor object in objs secton of this program that contains configuration for what to record and where to get it"; init_from=NULL; }; }; functions { name="functions"; el_typ=Function; el_def=0; }; init_code { name="init_code"; el_typ=ProgCode; el_def=0; MethodCall @[0] { desc="set the network and datatable for the NetMonitor"; flags=0; code_string="trial_netmon->SetDataNetwork(trial_mon_data, network)"; pre_compile_code_string="trial_netmon->SetDataNetwork(trial_mon_data, network)"; result_var=NULL; obj=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrialMonitor"].vars["trial_netmon"]$$; method=NetMonitor::SetDataNetwork; meth_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=DataTable_ptr; type="DataTable*"; name="dt"; required=1; def_val=; prev_expr=; expr { expr="trial_mon_data"; }; }; ProgArg @[1] { arg_type=Network_ptr; type="Network*"; name="net"; required=1; def_val=; prev_expr=; expr { expr="network"; }; }; }; meth_sig="void SetDataNetwork(DataTable* dt, Network* net)"; meth_desc=" set both the data table and network -- convenient for programs -- calls ResetDataTableCols too -- don't call if columns are manually added or this is adding additional rows to a table"; }; MethodCall @[1] { desc="check the configuration of the network monitor -- will emit warnings and errors for misconfigurations"; flags=0; code_string="trial_netmon->CheckConfig(false)"; pre_compile_code_string="trial_netmon->CheckConfig(false)"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrialMonitor"].vars["trial_netmon"]$; method=taBase::CheckConfig; meth_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=bool; type="bool"; name="quiet"; required=0; def_val="false"; prev_expr=; expr { expr="false"; }; }; }; meth_sig="bool CheckConfig(bool quiet = false)"; meth_desc=" check the configuration of this object and all its children (defaults to no confirm of success)"; }; MethodCall @[2] { desc="update the monitor items and data schema based on current settings of the NetMonitor object"; flags=0; code_string="trial_netmon->UpdateMonitors(true)"; pre_compile_code_string="trial_netmon->UpdateMonitors(true)"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrialMonitor"].vars["trial_netmon"]$; method=NetMonitor::UpdateMonitors; meth_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=bool; type="bool"; name="reset_first"; required=0; def_val="false"; prev_expr=; expr { expr="true"; }; }; }; meth_sig="void UpdateMonitors(bool reset_first = false)"; meth_desc=" old name for UpdateDataTable"; }; }; prog_code { name="prog_code"; el_typ=ProgCode; el_def=0; MethodCall @[0] { desc="add a new blank row to the data"; flags=CAN_REVERT_TO_CODE; code_string="trial_mon_data->AddBlankRow()"; pre_compile_code_string="trial_mon_data->AddBlankRow()"; result_var=NULL; obj=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrialMonitor"].vars["trial_mon_data"]$$; method=DataTable::AddBlankRow; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="int AddBlankRow()"; meth_desc=" add a new row to the data table, sets read (source) and write (sink) index to this last row (as in ReadItem or WriteItem), so that subsequent data routines refer to this new row, and returns row "; }; MethodCall @[1] { desc="get the new monitor data from the network and other sources -- this does the main work"; flags=CAN_REVERT_TO_CODE; code_string="trial_netmon->GetMonVals()"; pre_compile_code_string="trial_netmon->GetMonVals()"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrialMonitor"].vars["trial_netmon"]$; method=NetMonitor::GetMonVals; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="void GetMonVals()"; meth_desc=" get all the values and store in current row of data table -- call in program to get new data"; }; MethodCall @[2] { desc="update views and other things after writing new data to monitor data table"; flags=CAN_REVERT_TO_CODE; code_string="trial_mon_data->WriteClose()"; pre_compile_code_string="trial_mon_data->WriteClose()"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrialMonitor"].vars["trial_mon_data"]$; method=DataTable::WriteClose; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="void WriteClose()"; meth_desc=" closes up a write operation -- call when done writing data -- performs any post-writing cleanup/closing of files, etc"; }; MethodCall @[3] { desc="only functional for dmem projects: synchronizes trial data across processes so that all distributed memory processors have the same trial-level data, despite having run only a subset of them each"; flags=CAN_REVERT_TO_CODE; code_string="network->DMem_ShareTrialData(trial_mon_data, 1)"; pre_compile_code_string="network->DMem_ShareTrialData(trial_mon_data, 1)"; result_var=NULL; obj=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraTrialMonitor"].args["network"]$$; method=Network::DMem_ShareTrialData; meth_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=DataTable_ptr; type="DataTable*"; name="dt"; required=1; def_val=; prev_expr=; expr { expr="trial_mon_data"; }; }; ProgArg @[1] { arg_type=int; type="int"; name="n_rows"; required=0; def_val="1"; prev_expr=; expr { expr="1"; }; }; }; meth_sig="void DMem_ShareTrialData(DataTable* dt, int n_rows = 1)"; meth_desc=" share trial data from given datatable across the trial-level dmem communicator (outer loop) -- each processor gets data from all other processors; if called every trial with n_rows = 1, data will be identical to non-dmem; if called at end of epoch with n_rows = -1 data will be grouped by processor but this is more efficient"; }; }; step_prog=NULL; step_n=1; doc { name="doc"; desc=; web_doc=0; wiki=; url="local"; full_url="local"; text_size=1; text=; html_text=; }; }; Program @["Cycle_Monitor"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserData_DocLink; el_def=0; UserData_DocLink @["DocLink"] { name="DocLink"; doc=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["Cycle_Monitor"].doc$$; }; }; name="Cycle_Monitor"; short_nm="Monitor"; tags=; desc="monitor trial-level data from the network (and potentially other sources) -- stores results in datatable (TrialOutputData typically) that can be used for graph/grid views and further analysis "; version { major=0; minor=0; step=0; }; author=; email=; flags=NO_STOP_STEP; stop_step_cond { expr=; }; objs { name="objs"; el_typ=NetMonitor; el_def=0; NetMonitor @["cycle_netmon"] { name="cycle_netmon"; items { name="items"; el_typ=NetMonItem; el_def=0; NetMonItem @["batch"] { name="batch"; computed=0; object_type=LeabraNetwork; object=NULL; variable="batch"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["epoch"] { name="epoch"; computed=0; object_type=LeabraNetwork; object=NULL; variable="epoch"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["trial"] { name="trial"; computed=0; object_type=LeabraNetwork; object=NULL; variable="trial"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["trial_name"] { name="trial_name"; computed=0; object_type=LeabraNetwork; object=NULL; variable="trial_name"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["group_name"] { name="group_name"; computed=0; object_type=LeabraNetwork; object=NULL; variable="group_name"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["phase_no"] { name="phase_no"; computed=0; object_type=LeabraNetwork; object=NULL; variable="phase_no"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["cycle"] { name="cycle"; computed=0; object_type=LeabraNetwork; object=NULL; variable="cycle"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["sse"] { name="sse"; computed=0; object_type=LeabraNetwork; object=NULL; variable="sse"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["ext_rew"] { name="ext_rew"; computed=0; object_type=LeabraNetwork; object=NULL; variable="ext_rew"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["STN_acts_avg"] { name="STN_acts_avg"; computed=0; object_type=LeabraLayer; object=NULL; variable="acts.avg"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["Motor__acts_avg"] { name="Motor__acts_avg"; computed=0; object_type=LeabraLayer; object=NULL; variable="acts.avg"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["Thalam_acts_avg"] { name="Thalam_acts_avg"; computed=0; object_type=LeabraLayer; object=NULL; variable="acts.avg"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["GP_Int_acts_avg"] { name="GP_Int_acts_avg"; computed=0; object_type=LeabraLayer; object=NULL; variable="acts.avg"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["GP_Ext_acts_avg"] { name="GP_Ext_acts_avg"; computed=0; object_type=LeabraLayer; object=NULL; variable="acts.avg"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["Output_act"] { name="Output_act"; computed=0; object_type=LeabraLayer; object=NULL; variable="act"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["Output_units_0_act"] { name="Output_units_0_act"; computed=0; object_type=LeabraLayer; object=NULL; variable="units[0].act"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["SNc_acts_avg"] { name="SNc_acts_avg"; computed=0; object_type=LeabraLayer; object=NULL; variable="acts.avg"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; }; network=NULL; data=.projects["BG_4s_inhib_PS_e7a"].data.gp["OutputData"]["CycleOutputData"]$$; rmv_orphan_cols=1; }; }; types { name="types"; el_typ=DynEnumType; el_def=0; }; args { name="args"; el_typ=ProgVar; el_def=0; ProgVar @["network"] { name="network"; var_type=T_Object; object_type=Network; object_val=NULL; objs_ptr=0; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL|PGRM_ARG; reference=0; desc="network to record data from"; init_from=NULL; }; }; vars { name="vars"; el_typ=ProgVar; el_def=0; ProgVar @["cycle_mon_data"] { name="cycle_mon_data"; var_type=T_Object; object_type=DataTable; object_val=$.projects["BG_4s_inhib_PS_e7a"].data.gp["OutputData"]["CycleOutputData"]$; objs_ptr=0; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="data table to record trial-level data to (this program writes new data to this table!)"; init_from=NULL; }; ProgVar @["cycle_netmon"] { name="cycle_netmon"; var_type=T_Object; object_type=NetMonitor; object_val=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["Cycle_Monitor"].objs["cycle_netmon"]$$; objs_ptr=1; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="pointer to the NetMonitor object in objs secton of this program that contains configuration for what to record and where to get it"; init_from=NULL; }; }; functions { name="functions"; el_typ=Function; el_def=0; }; init_code { name="init_code"; el_typ=ProgCode; el_def=0; MethodCall @[0] { desc="set the network and datatable for the NetMonitor"; flags=0; code_string="cycle_netmon->SetDataNetwork(cycle_mon_data, network)"; pre_compile_code_string="cycle_netmon->SetDataNetwork(cycle_mon_data, network)"; result_var=NULL; obj=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["Cycle_Monitor"].vars["cycle_netmon"]$$; method=NetMonitor::SetDataNetwork; meth_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=DataTable_ptr; type="DataTable*"; name="dt"; required=1; def_val=; prev_expr=; expr { expr="cycle_mon_data"; }; }; ProgArg @[1] { arg_type=Network_ptr; type="Network*"; name="net"; required=1; def_val=; prev_expr=; expr { expr="network"; }; }; }; meth_sig="void SetDataNetwork(DataTable* dt, Network* net)"; meth_desc=" set both the data table and network -- convenient for programs -- calls ResetDataTableCols too -- don't call if columns are manually added or this is adding additional rows to a table"; }; MethodCall @[1] { desc="check the configuration of the network monitor -- will emit warnings and errors for misconfigurations"; flags=0; code_string="cycle_netmon->CheckConfig(false)"; pre_compile_code_string="cycle_netmon->CheckConfig(false)"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["Cycle_Monitor"].vars["cycle_netmon"]$; method=taBase::CheckConfig; meth_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=bool; type="bool"; name="quiet"; required=0; def_val="false"; prev_expr=; expr { expr="false"; }; }; }; meth_sig="bool CheckConfig(bool quiet = false)"; meth_desc=" check the configuration of this object and all its children (defaults to no confirm of success)"; }; MethodCall @[2] { desc="update the monitor items and data schema based on current settings of the NetMonitor object"; flags=0; code_string="cycle_netmon->UpdateMonitors(true)"; pre_compile_code_string="cycle_netmon->UpdateMonitors(true)"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["Cycle_Monitor"].vars["cycle_netmon"]$; method=NetMonitor::UpdateMonitors; meth_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=bool; type="bool"; name="reset_first"; required=0; def_val="false"; prev_expr=; expr { expr="true"; }; }; }; meth_sig="void UpdateMonitors(bool reset_first = false)"; meth_desc=" old name for UpdateDataTable"; }; }; prog_code { name="prog_code"; el_typ=ProgCode; el_def=0; IfReturn @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="if(network.phase_no>0) return"; pre_compile_code_string="if(network.phase_no>0) return"; cond { expr="network.phase_no>0"; }; }; MethodCall @[1] { desc="add a new blank row to the data"; flags=0; code_string="cycle_mon_data->AddBlankRow()"; pre_compile_code_string="cycle_mon_data->AddBlankRow()"; result_var=NULL; obj=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["Cycle_Monitor"].vars["cycle_mon_data"]$$; method=DataTable::AddBlankRow; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="int AddBlankRow()"; meth_desc=" add a new row to the data table, sets read (source) and write (sink) index to this last row (as in ReadItem or WriteItem), so that subsequent data routines refer to this new row, and returns row "; }; MethodCall @[2] { desc="get the new monitor data from the network and other sources -- this does the main work"; flags=0; code_string="cycle_netmon->GetMonVals()"; pre_compile_code_string="cycle_netmon->GetMonVals()"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["Cycle_Monitor"].vars["cycle_netmon"]$; method=NetMonitor::GetMonVals; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="void GetMonVals()"; meth_desc=" get all the values and store in current row of data table -- call in program to get new data"; }; MethodCall @[3] { desc="update views and other things after writing new data to monitor data table"; flags=0; code_string="cycle_mon_data->WriteClose()"; pre_compile_code_string="cycle_mon_data->WriteClose()"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["Cycle_Monitor"].vars["cycle_mon_data"]$; method=DataTable::WriteClose; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="void WriteClose()"; meth_desc=" closes up a write operation -- call when done writing data -- performs any post-writing cleanup/closing of files, etc"; }; MethodCall @[4] { desc="only functional for dmem projects: synchronizes trial data across processes so that all distributed memory processors have the same trial-level data, despite having run only a subset of them each"; flags=0; code_string="network->DMem_ShareTrialData(cycle_mon_data, 1)"; pre_compile_code_string="network->DMem_ShareTrialData(cycle_mon_data, 1)"; result_var=NULL; obj=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["Cycle_Monitor"].args["network"]$$; method=Network::DMem_ShareTrialData; meth_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=DataTable_ptr; type="DataTable*"; name="dt"; required=1; def_val=; prev_expr=; expr { expr="cycle_mon_data"; }; }; ProgArg @[1] { arg_type=int; type="int"; name="n_rows"; required=0; def_val="1"; prev_expr=; expr { expr="1"; }; }; }; meth_sig="void DMem_ShareTrialData(DataTable* dt, int n_rows = 1)"; meth_desc=" share trial data from given datatable across the trial-level dmem communicator (outer loop) -- each processor gets data from all other processors; if called every trial with n_rows = 1, data will be identical to non-dmem; if called at end of epoch with n_rows = -1 data will be grouped by processor but this is more efficient"; }; }; step_prog=NULL; step_n=1; doc { name="doc"; desc=; web_doc=0; wiki=; url="local"; full_url="local"; text_size=1; text=; html_text=; }; }; Program @["LeabraEpochMonitor"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserData_DocLink; el_def=0; UserData_DocLink @["DocLink"] { name="DocLink"; doc=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpochMonitor"].doc$$; }; }; name="LeabraEpochMonitor"; short_nm="EpcMon"; tags="Leabra, Std, Monitor"; desc="monitor epoch-level data from the network to a datatable (EpochOutputData typically) for use in graphing and viewing and further analysis"; version { major=0; minor=0; step=0; }; author=; email=; flags=NO_STOP_STEP; stop_step_cond { expr=; }; objs { name="objs"; el_typ=NetMonitor; el_def=0; NetMonitor @["epoch_netmon"] { name="epoch_netmon"; items { name="items"; el_typ=NetMonItem; el_def=0; NetMonItem @["batch"] { name="batch"; computed=0; object_type=LeabraNetwork; object=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; variable="batch"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["epoch"] { name="epoch"; computed=0; object_type=LeabraNetwork; object=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; variable="epoch"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["avg_sse"] { name="avg_sse"; computed=0; object_type=LeabraNetwork; object=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; variable="avg_sse"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["cnt_err"] { name="cnt_err"; computed=0; object_type=LeabraNetwork; object=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; variable="cnt_err"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["avg_ext_rew"] { name="avg_ext_rew"; computed=0; object_type=LeabraNetwork; object=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; variable="avg_ext_rew"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["avg_cycles"] { name="avg_cycles"; computed=0; object_type=LeabraNetwork; object=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; variable="avg_cycles"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; }; network=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; data=.projects["BG_4s_inhib_PS_e7a"].data.gp["OutputData"]["EpochOutputData"]$$; rmv_orphan_cols=1; }; }; types { name="types"; el_typ=DynEnumType; el_def=0; }; args { name="args"; el_typ=ProgVar; el_def=0; ProgVar @["network"] { name="network"; var_type=T_Object; object_type=Network; object_val=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; objs_ptr=0; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL|PGRM_ARG; reference=0; desc="network to get data from"; init_from=NULL; }; ProgVar @["FlatNetwork"] { name="FlatNetwork"; var_type=T_Object; object_type=LeabraNetwork; object_val=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL|PGRM_ARG; reference=0; desc="network to operate on -- updates batch counter on network and passes it to train program"; init_from=NULL; }; }; vars { name="vars"; el_typ=ProgVar; el_def=0; ProgVar @["epoch_mon_data"] { name="epoch_mon_data"; var_type=T_Object; object_type=DataTable; object_val=$.projects["BG_4s_inhib_PS_e7a"].data.gp["OutputData"]["EpochOutputData"]$; objs_ptr=0; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="data table to write the epoch data to"; init_from=NULL; }; ProgVar @["epoch_netmon"] { name="epoch_netmon"; var_type=T_Object; object_type=NetMonitor; object_val=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpochMonitor"].objs["epoch_netmon"]$$; objs_ptr=1; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="network monitor object that contains full specs for what to record and where to get it"; init_from=NULL; }; ProgVar @["epoch_timer"] { name="epoch_timer"; var_type=T_Object; object_type=TimeUsed; object_val=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].epoch_time$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="pointer to the network's epoch-level timer, to record how long it took to process an epoch"; init_from=NULL; }; }; functions { name="functions"; el_typ=Function; el_def=0; }; init_code { name="init_code"; el_typ=ProgCode; el_def=0; AssignExpr @[0] { desc="get the epoch timer from current network"; flags=CAN_REVERT_TO_CODE; code_string="epoch_timer = network.epoch_time"; pre_compile_code_string="epoch_timer = network.epoch_time"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpochMonitor"].vars["epoch_timer"]$$; expr { expr="network.epoch_time"; }; }; MethodCall @[1] { desc="set data and network on NetMonitor object"; flags=0; code_string="epoch_netmon->SetDataNetwork(epoch_mon_data, network)"; pre_compile_code_string="epoch_netmon->SetDataNetwork(epoch_mon_data, network)"; result_var=NULL; obj=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpochMonitor"].vars["epoch_netmon"]$$; method=NetMonitor::SetDataNetwork; meth_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=DataTable_ptr; type="DataTable*"; name="dt"; required=1; def_val=; prev_expr=; expr { expr="epoch_mon_data"; }; }; ProgArg @[1] { arg_type=Network_ptr; type="Network*"; name="net"; required=1; def_val=; prev_expr=; expr { expr="network"; }; }; }; meth_sig="void SetDataNetwork(DataTable* dt, Network* net)"; meth_desc=" set both the data table and network -- convenient for programs -- calls ResetDataTableCols too -- don't call if columns are manually added or this is adding additional rows to a table"; }; MethodCall @[2] { desc="check configuration and emit errors/warnings for problems"; flags=0; code_string="epoch_netmon->CheckConfig(false)"; pre_compile_code_string="epoch_netmon->CheckConfig(false)"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpochMonitor"].vars["epoch_netmon"]$; method=taBase::CheckConfig; meth_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=bool; type="bool"; name="quiet"; required=0; def_val="false"; prev_expr=; expr { expr="false"; }; }; }; meth_sig="bool CheckConfig(bool quiet = false)"; meth_desc=" check the configuration of this object and all its children (defaults to no confirm of success)"; }; MethodCall @[3] { desc="update the monitor items and data schema based on current settings of NetMonitor"; flags=0; code_string="epoch_netmon->UpdateMonitors(true)"; pre_compile_code_string="epoch_netmon->UpdateMonitors(true)"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpochMonitor"].vars["epoch_netmon"]$; method=NetMonitor::UpdateMonitors; meth_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=bool; type="bool"; name="reset_first"; required=0; def_val="false"; prev_expr=; expr { expr="true"; }; }; }; meth_sig="void UpdateMonitors(bool reset_first = false)"; meth_desc=" old name for UpdateDataTable"; }; }; prog_code { name="prog_code"; el_typ=ProgCode; el_def=0; MethodCall @[0] { desc="add a new blank row to the data"; flags=0; code_string="epoch_mon_data->AddBlankRow()"; pre_compile_code_string="epoch_mon_data->AddBlankRow()"; result_var=NULL; obj=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpochMonitor"].vars["epoch_mon_data"]$$; method=DataTable::AddBlankRow; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="int AddBlankRow()"; meth_desc=" add a new row to the data table, sets read (source) and write (sink) index to this last row (as in ReadItem or WriteItem), so that subsequent data routines refer to this new row, and returns row "; }; MethodCall @[1] { desc="get the new monitor data and stor it into the data table -- this does the main job here"; flags=0; code_string="epoch_netmon->GetMonVals()"; pre_compile_code_string="epoch_netmon->GetMonVals()"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpochMonitor"].vars["epoch_netmon"]$; method=NetMonitor::GetMonVals; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="void GetMonVals()"; meth_desc=" get all the values and store in current row of data table -- call in program to get new data"; }; AssignExpr @[2] { desc="get the epoch timer from current network"; flags=CAN_REVERT_TO_CODE; code_string="epoch_timer = network.epoch_time"; pre_compile_code_string="epoch_timer = network.epoch_time"; result_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpochMonitor"].vars["epoch_timer"]$; expr { expr="network.epoch_time"; }; }; MethodCall @[3] { desc="update after writing new data to monitor data table"; flags=0; code_string="epoch_mon_data->WriteClose()"; pre_compile_code_string="epoch_mon_data->WriteClose()"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpochMonitor"].vars["epoch_mon_data"]$; method=DataTable::WriteClose; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="void WriteClose()"; meth_desc=" closes up a write operation -- call when done writing data -- performs any post-writing cleanup/closing of files, etc"; }; }; step_prog=NULL; step_n=1; doc { name="doc"; desc=; web_doc=0; wiki=; url="local"; full_url="local"; text_size=1; text=; html_text=; }; }; Program @["LeabraEpochMonitor_10"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserData_DocLink; el_def=0; UserData_DocLink @["DocLink"] { name="DocLink"; doc=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpochMonitor_10"].doc$$; }; }; name="LeabraEpochMonitor_10"; short_nm="pcMntF"; tags="Leabra, Std, Monitor"; desc="monitor epoch-level data from the network to a datatable (EpochOutputData typically) for use in graphing and viewing and further analysis"; version { major=0; minor=0; step=0; }; author=; email=; flags=NO_STOP_STEP; stop_step_cond { expr=; }; objs { name="objs"; el_typ=NetMonitor; el_def=0; NetMonitor @["epoch_netmon"] { name="epoch_netmon"; items { name="items"; el_typ=NetMonItem; el_def=0; NetMonItem @["batch"] { name="batch"; computed=0; object_type=LeabraNetwork; object=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; variable="batch"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["epoch"] { name="epoch"; computed=0; object_type=LeabraNetwork; object=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; variable="epoch"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["avg_sse"] { name="avg_sse"; computed=0; object_type=LeabraNetwork; object=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; variable="avg_sse"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["cnt_err"] { name="cnt_err"; computed=0; object_type=LeabraNetwork; object=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; variable="cnt_err"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["avg_ext_rew"] { name="avg_ext_rew"; computed=0; object_type=LeabraNetwork; object=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; variable="avg_ext_rew"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; NetMonItem @["avg_cycles"] { name="avg_cycles"; computed=0; object_type=LeabraNetwork; object=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; variable="avg_cycles"; var_label=; name_style=AUTO_NAME; max_name_len=6; val_type=VT_FLOAT; matrix=0; matrix_geom{ }; data_agg=0; data_src=NULL; agg_col { col_name=; }; agg {name="agg": op=NONE: rel={name="rel": rel=LESSTHANOREQUAL: val=0: val_string="": use_var=0: var=NULL: }: }; select_rows=0; select_spec { col_name=; name="_EQUAL_"; on=1; rel=EQUAL; use_var=0; cmp 0 1; var=NULL; enable_var=NULL; }; pre_proc_1 {name="pre_proc_1": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_2 {name="pre_proc_2": opr=NONE: arg=0: lw=-1: hi=1: }; pre_proc_3 {name="pre_proc_3": opr=NONE: arg=0: lw=-1: hi=1: }; }; }; network=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; data=$.projects["BG_4s_inhib_PS_e7a"].data.gp["OutputData"]["EpochOutputData"]$; rmv_orphan_cols=1; }; }; types { name="types"; el_typ=DynEnumType; el_def=0; }; args { name="args"; el_typ=ProgVar; el_def=0; ProgVar @["network"] { name="network"; var_type=T_Object; object_type=Network; object_val=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; objs_ptr=0; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL|PGRM_ARG; reference=0; desc="network to get data from"; init_from=NULL; }; ProgVar @["FlatNetwork"] { name="FlatNetwork"; var_type=T_Object; object_type=LeabraNetwork; object_val=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|EDIT_VAL|PGRM_ARG; reference=0; desc="network to operate on -- updates batch counter on network and passes it to train program"; init_from=NULL; }; }; vars { name="vars"; el_typ=ProgVar; el_def=0; ProgVar @["epoch_mon_data"] { name="epoch_mon_data"; var_type=T_Object; object_type=DataTable; object_val=$.projects["BG_4s_inhib_PS_e7a"].data.gp["OutputData"]["EpochOutputData"]$; objs_ptr=0; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="data table to write the epoch data to"; init_from=NULL; }; ProgVar @["epoch_netmon"] { name="epoch_netmon"; var_type=T_Object; object_type=NetMonitor; object_val=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpochMonitor_10"].objs["epoch_netmon"]$$; objs_ptr=1; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="network monitor object that contains full specs for what to record and where to get it"; init_from=NULL; }; ProgVar @["epoch_timer"] { name="epoch_timer"; var_type=T_Object; object_type=TimeUsed; object_val=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].epoch_time$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="pointer to the network's epoch-level timer, to record how long it took to process an epoch"; init_from=NULL; }; }; functions { name="functions"; el_typ=Function; el_def=0; }; init_code { name="init_code"; el_typ=ProgCode; el_def=0; AssignExpr @[0] { desc="get the epoch timer from current network"; flags=CAN_REVERT_TO_CODE; code_string="epoch_timer = network.epoch_time"; pre_compile_code_string="epoch_timer = network.epoch_time"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpochMonitor_10"].vars["epoch_timer"]$$; expr { expr="network.epoch_time"; }; }; MethodCall @[1] { desc="set data and network on NetMonitor object"; flags=0; code_string="epoch_netmon->SetDataNetwork(epoch_mon_data, network)"; pre_compile_code_string="epoch_netmon->SetDataNetwork(epoch_mon_data, network)"; result_var=NULL; obj=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpochMonitor_10"].vars["epoch_netmon"]$$; method=NetMonitor::SetDataNetwork; meth_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=DataTable_ptr; type="DataTable*"; name="dt"; required=1; def_val=; prev_expr=; expr { expr="epoch_mon_data"; }; }; ProgArg @[1] { arg_type=Network_ptr; type="Network*"; name="net"; required=1; def_val=; prev_expr=; expr { expr="network"; }; }; }; meth_sig="void SetDataNetwork(DataTable* dt, Network* net)"; meth_desc=" set both the data table and network -- convenient for programs -- calls ResetDataTableCols too -- don't call if columns are manually added or this is adding additional rows to a table"; }; MethodCall @[2] { desc="check configuration and emit errors/warnings for problems"; flags=0; code_string="epoch_netmon->CheckConfig(false)"; pre_compile_code_string="epoch_netmon->CheckConfig(false)"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpochMonitor_10"].vars["epoch_netmon"]$; method=taBase::CheckConfig; meth_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=bool; type="bool"; name="quiet"; required=0; def_val="false"; prev_expr=; expr { expr="false"; }; }; }; meth_sig="bool CheckConfig(bool quiet = false)"; meth_desc=" check the configuration of this object and all its children (defaults to no confirm of success)"; }; MethodCall @[3] { desc="update the monitor items and data schema based on current settings of NetMonitor"; flags=0; code_string="epoch_netmon->UpdateMonitors(true)"; pre_compile_code_string="epoch_netmon->UpdateMonitors(true)"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpochMonitor_10"].vars["epoch_netmon"]$; method=NetMonitor::UpdateMonitors; meth_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=bool; type="bool"; name="reset_first"; required=0; def_val="false"; prev_expr=; expr { expr="true"; }; }; }; meth_sig="void UpdateMonitors(bool reset_first = false)"; meth_desc=" old name for UpdateDataTable"; }; }; prog_code { name="prog_code"; el_typ=ProgCode; el_def=0; MethodCall @[0] { desc="add a new blank row to the data"; flags=CAN_REVERT_TO_CODE; code_string="epoch_mon_data->AddBlankRow()"; pre_compile_code_string="epoch_mon_data->AddBlankRow()"; result_var=NULL; obj=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpochMonitor_10"].vars["epoch_mon_data"]$$; method=DataTable::AddBlankRow; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="int AddBlankRow()"; meth_desc=" add a new row to the data table, sets read (source) and write (sink) index to this last row (as in ReadItem or WriteItem), so that subsequent data routines refer to this new row, and returns row "; }; MethodCall @[1] { desc="get the new monitor data and stor it into the data table -- this does the main job here"; flags=CAN_REVERT_TO_CODE; code_string="epoch_netmon->GetMonVals()"; pre_compile_code_string="epoch_netmon->GetMonVals()"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpochMonitor_10"].vars["epoch_netmon"]$; method=NetMonitor::GetMonVals; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="void GetMonVals()"; meth_desc=" get all the values and store in current row of data table -- call in program to get new data"; }; AssignExpr @[2] { desc="get the epoch timer from current network"; flags=CAN_REVERT_TO_CODE; code_string="epoch_timer = network.epoch_time"; pre_compile_code_string="epoch_timer = network.epoch_time"; result_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpochMonitor_10"].vars["epoch_timer"]$; expr { expr="network.epoch_time"; }; }; MethodCall @[3] { desc="update after writing new data to monitor data table"; flags=CAN_REVERT_TO_CODE; code_string="epoch_mon_data->WriteClose()"; pre_compile_code_string="epoch_mon_data->WriteClose()"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["LeabraEpochMonitor_10"].vars["epoch_mon_data"]$; method=DataTable::WriteClose; meth_args { name=; el_typ=ProgArg; el_def=0; }; meth_sig="void WriteClose()"; meth_desc=" closes up a write operation -- call when done writing data -- performs any post-writing cleanup/closing of files, etc"; }; }; step_prog=NULL; step_n=1; doc { name="doc"; desc=; web_doc=0; wiki=; url="local"; full_url="local"; text_size=1; text=; html_text=; }; }; Program @["SaveWeights"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserData_DocLink; el_def=0; UserData_DocLink @["DocLink"] { name="DocLink"; doc=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["SaveWeights"].doc$$; }; }; name="SaveWeights"; short_nm="SvWts"; tags="Network, Weights"; desc="save network's current weight values to file using WriteWeights function, with file name based on project name + batch + epoch values"; version { major=0; minor=0; step=0; }; author=; email=; flags=NO_STOP_STEP; stop_step_cond { expr=; }; objs { name="objs"; el_typ=taNBase; el_def=0; }; types { name="types"; el_typ=DynEnumType; el_def=0; }; args { name="args"; el_typ=ProgVar; el_def=0; ProgVar @["network"] { name="network"; var_type=T_Object; object_type=Network; object_val=NULL; objs_ptr=0; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL|PGRM_ARG; reference=0; desc=; init_from=NULL; }; }; vars { name="vars"; el_typ=ProgVar; el_def=0; ProgVar @["tag"] { name="tag"; var_type=T_String; string_val=; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="user-provided tag (startup script will set this!)"; init_from=NULL; }; ProgVar @["wts_subdir"] { name="wts_subdir"; var_type=T_String; string_val=; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="user-provided subdirectory to save weights in"; init_from=NULL; }; ProgVar @["fname"] { name="fname"; var_type=T_String; string_val=; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="final generated file name -- do not edit!"; init_from=NULL; }; ProgVar @["epoch_str"] { name="epoch_str"; var_type=T_String; string_val="0036"; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="string rep of epoch with leading zeros"; init_from=NULL; }; ProgVar @["batch_str"] { name="batch_str"; var_type=T_String; string_val="03"; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="string rep of batch with leading zeros"; init_from=NULL; }; ProgVar @["final_tag"] { name="final_tag"; var_type=T_String; string_val=".03_0036"; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc="batch + epoch"; init_from=NULL; }; }; functions { name="functions"; el_typ=Function; el_def=0; }; init_code { name="init_code"; el_typ=ProgCode; el_def=0; }; prog_code { name="prog_code"; el_typ=ProgCode; el_def=0; IfReturn @[0] { desc="do not save if not the first dmem process (only relevant for dmem = distributed memory processing)"; flags=CAN_REVERT_TO_CODE; code_string="if(taMisc::dmem_proc > 0) return"; pre_compile_code_string="if(taMisc::dmem_proc > 0) return"; cond { expr="taMisc::dmem_proc > 0"; }; }; MiscCall @[1] { desc="get current batch counter for file name, with leading zeros to length 3"; flags=0; code_string="batch_str = taMisc::LeadingZeros(network.batch, 2)"; pre_compile_code_string="batch_str = taMisc::LeadingZeros(network.batch, 2)"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["SaveWeights"].vars["batch_str"]$$; object_type=taMisc; method=taMisc::LeadingZeros; meth_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=int; type="int"; name="num"; required=1; def_val=; prev_expr=; expr { expr="network.batch"; }; }; ProgArg @[1] { arg_type=int; type="int"; name="len"; required=1; def_val=; prev_expr=; expr { expr="2"; }; }; }; }; MiscCall @[2] { desc="get current epoch counter with leading zeros to length 4"; flags=0; code_string="epoch_str = taMisc::LeadingZeros(network.epoch, 4)"; pre_compile_code_string="epoch_str = taMisc::LeadingZeros(network.epoch, 4)"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["SaveWeights"].vars["epoch_str"]$$; object_type=taMisc; method=taMisc::LeadingZeros; meth_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=int; type="int"; name="num"; required=1; def_val=; prev_expr=; expr { expr="network.epoch"; }; }; ProgArg @[1] { arg_type=int; type="int"; name="len"; required=1; def_val=; prev_expr=; expr { expr="4"; }; }; }; }; AssignExpr @[3] { desc="string 'tag' to identify the batch, epoch, and other user id info for the weights"; flags=CAN_REVERT_TO_CODE; code_string="final_tag = tag + \".\" + batch_str + \"_\" + epoch_str"; pre_compile_code_string="final_tag = tag + \".\" + batch_str + \"_\" + epoch_str"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["SaveWeights"].vars["final_tag"]$$; expr { expr="tag + \".\" + batch_str + \"_\" + epoch_str"; }; }; MethodCall @[4] { desc="get a file name based on the project's current file name, for saving the weights"; flags=0; code_string="fname = network->GetFileNameFmProject(\".wts.gz\", final_tag, wts_subdir, false)"; pre_compile_code_string="fname = network->GetFileNameFmProject(\".wts.gz\", final_tag, wts_subdir, false)"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["SaveWeights"].vars["fname"]$$; obj=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["SaveWeights"].args["network"]$$; method=taBase::GetFileNameFmProject; meth_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=const_taString_ref; type="taString&"; name="ext"; required=1; def_val=; prev_expr=; expr { expr="\".wts.gz\""; }; }; ProgArg @[1] { arg_type=const_taString_ref; type="taString&"; name="tag"; required=0; def_val="\"\""; prev_expr=; expr { expr="final_tag"; }; }; ProgArg @[2] { arg_type=const_taString_ref; type="taString&"; name="subdir"; required=0; def_val="\"\""; prev_expr=; expr { expr="wts_subdir"; }; }; ProgArg @[3] { arg_type=bool; type="bool"; name="dmem_proc_no"; required=0; def_val="false"; prev_expr=; expr { expr="false"; }; }; }; meth_sig="taString GetFileNameFmProject(taString& ext, taString& tag, taString& subdir, bool dmem_proc_no = false)"; meth_desc=" get file name from project file name -- useful for saving files associated with the project; ext = extension; tag = additional tag; subdir = additional directory after any existing in project name; fname = proj->base_name (subdir) + tag + ext; if dmem_proc_no, add dmem proc no to file name. empty if project not found"; }; MethodCall @[5] { desc="save the weights to that file name"; flags=0; code_string="network->SaveWeights(fname, Network::NET_FMT)"; pre_compile_code_string="network->SaveWeights(fname, Network::NET_FMT)"; result_var=NULL; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["SaveWeights"].args["network"]$; method=Network::SaveWeights; meth_args { name=; el_typ=ProgArg; el_def=0; ProgArg @[0] { arg_type=const_taString_ref; type="taString&"; name="fname"; required=0; def_val="\"\""; prev_expr=; expr { expr="fname"; }; }; ProgArg @[1] { arg_type=Network::WtSaveFormat; type="Network::WtSaveFormat"; name="fmt"; required=0; def_val="Network::NET_FMT"; prev_expr=; expr { expr="Network::NET_FMT"; }; }; }; meth_sig="void SaveWeights(taString& fname, Network::WtSaveFormat fmt = NET_FMT)"; meth_desc=" write weight values out in a simple ordered list of weights (optionally in binary fmt) (leave fname empty to pull up file chooser)"; }; }; step_prog=NULL; step_n=1; doc { name="doc"; desc=; web_doc=0; wiki=; url="local"; full_url="local"; text_size=1; text=; html_text=; }; }; Program @["DA_Rew_Punish"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserData_DocLink; el_def=0; UserData_DocLink @["DocLink"] { name="DocLink"; doc=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].doc$$; }; }; name="DA_Rew_Punish"; short_nm="Punish"; tags=; desc=; version { major=0; minor=0; step=0; }; author=; email=; flags=0; stop_step_cond { expr=; }; objs { name="objs"; el_typ=taNBase; el_def=0; }; types { name="types"; el_typ=DynEnumType; el_def=0; }; args { name="args"; el_typ=ProgVar; el_def=0; ProgVar @["network"] { name="network"; var_type=T_Object; object_type=LeabraNetwork; object_val=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; objs_ptr=0; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL|PGRM_ARG; reference=0; desc="network to train"; init_from=NULL; }; ProgVar @["input_data"] { name="input_data"; var_type=T_Object; object_type=DataTable; object_val=$.projects["BG_4s_inhib_PS_e7a"].data.gp["InputData"]["TransferInputData"]$; objs_ptr=0; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|EDIT_VAL|PGRM_ARG; reference=0; desc="datatable with training patterns"; init_from=NULL; }; }; vars { name="vars"; el_typ=ProgVar; el_def=0; ProgVar @["num_intact_snc_units"] { name="num_intact_snc_units"; var_type=T_Int; int_val=2; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["snc_size"] { name="snc_size"; var_type=T_Int; int_val=4; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["k"] { name="k"; var_type=T_Real; real_val=0.5; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["sse"] { name="sse"; var_type=T_Real; real_val=1.253412008285522; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["bias"] { name="bias"; var_type=T_Real; real_val=3; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["act0"] { name="act0"; var_type=T_Real; real_val=0.9166443943977356; flags=NULL_CHECK|SAVE_VAL|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["act1"] { name="act1"; var_type=T_Real; real_val=0; flags=NULL_CHECK|SAVE_VAL|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["actM0"] { name="actM0"; var_type=T_Real; real_val=0.2063146531581879; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["actM1"] { name="actM1"; var_type=T_Real; real_val=0.3712536096572876; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["actM2"] { name="actM2"; var_type=T_Real; real_val=0.8220142722129822; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["actM3"] { name="actM3"; var_type=T_Real; real_val=0.821648895740509; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["actM4"] { name="actM4"; var_type=T_Real; real_val=0.3602676391601562; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["actM5"] { name="actM5"; var_type=T_Real; real_val=0.1298051476478577; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["actM6"] { name="actM6"; var_type=T_Real; real_val=0.8131102323532104; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["actM7"] { name="actM7"; var_type=T_Real; real_val=0.7972568273544312; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["SNc"] { name="SNc"; var_type=T_Object; object_type=LeabraLayer; object_val=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["SNc"]$; objs_ptr=0; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["Output"] { name="Output"; var_type=T_Object; object_type=LeabraLayer; object_val=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["Output"]$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["Motor_Cortex"] { name="Motor_Cortex"; var_type=T_Object; object_type=LeabraLayer; object_val=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["PMC"]$; objs_ptr=0; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["i"] { name="i"; var_type=T_Int; int_val=2; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["TS"] { name="TS"; var_type=T_Int; int_val=0; flags=NULL_CHECK|SAVE_VAL|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["action"] { name="action"; var_type=T_Int; int_val=3; flags=NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["tonic_DA"] { name="tonic_DA"; var_type=T_Real; real_val=0.026; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["op_sse"] { name="op_sse"; var_type=T_Int; int_val=0; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["DA_dip_val"] { name="DA_dip_val"; var_type=T_Real; real_val=0; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["DA_burst_val"] { name="DA_burst_val"; var_type=T_Real; real_val=1; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|USED|EDIT_VAL; reference=0; desc=; init_from=NULL; }; ProgVar @["biasValue"] { name="biasValue"; var_type=T_Real; real_val=0; flags=NULL_CHECK|SAVE_VAL|EDIT_VAL; reference=0; desc="maximum number of epochs to run"; init_from=NULL; }; ProgVar @["biasInHid"] { name="biasInHid"; var_type=T_Real; real_val=0; flags=NULL_CHECK|SAVE_VAL|EDIT_VAL; reference=0; desc="maximum number of epochs to run"; init_from=NULL; }; ProgVar @["cycle"] { name="cycle"; var_type=T_Int; int_val=50; flags=CTRL_PANEL|NULL_CHECK|SAVE_VAL|EDIT_VAL; reference=0; desc="current cycle of settling (local loop counter)"; init_from=NULL; }; }; functions { name="functions"; el_typ=Function; el_def=0; }; init_code { name="init_code"; el_typ=ProgCode; el_def=0; }; prog_code { name="prog_code"; el_typ=ProgCode; el_def=0; AssignExpr @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="SNc = network.layers.SNc"; pre_compile_code_string="SNc = network.layers.SNc"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["SNc"]$$; expr { expr="network.layers.SNc"; }; }; AssignExpr @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex = network.layers.PMC"; pre_compile_code_string="Motor_Cortex = network.layers.PMC"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["Motor_Cortex"]$$; expr { expr="network.layers.PMC"; }; }; AssignExpr @[2] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Output = network.layers.Output"; pre_compile_code_string="Output = network.layers.Output"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["Output"]$$; expr { expr="network.layers.Output"; }; }; AssignExpr @[3] { desc=; flags=CAN_REVERT_TO_CODE; code_string="snc_size = SNc.units.size"; pre_compile_code_string="snc_size = SNc.units.size"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["snc_size"]$$; expr { expr="SNc.units.size"; }; }; AssignExpr @[4] { desc=; flags=CAN_REVERT_TO_CODE; code_string="k = (float)num_intact_snc_units/ snc_size"; pre_compile_code_string="k = (float)num_intact_snc_units/ snc_size"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["k"]$$; expr { expr="(float)num_intact_snc_units/ snc_size"; }; }; AssignExpr @[5] { desc=; flags=CAN_REVERT_TO_CODE; code_string="sse = network.sse"; pre_compile_code_string="sse = network.sse"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["sse"]$$; expr { expr="network.sse"; }; }; If @[6] { desc="apply phasic DA in plus phase"; flags=CAN_REVERT_TO_CODE; code_string="if (network.phase==LeabraNetwork::PLUS_PHASE)"; pre_compile_code_string="if (network.phase==LeabraNetwork::PLUS_PHASE)"; cond { expr="network.phase==LeabraNetwork::PLUS_PHASE"; }; true_code { name=; el_typ=ProgCode; el_def=0; AssignExpr @[0] { desc="store minus phase activation of motor ctx unit 0"; flags=CAN_REVERT_TO_CODE; code_string="actM0 = Motor_Cortex.units[0].act_m"; pre_compile_code_string="actM0 = Motor_Cortex.units[0].act_m"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["actM0"]$$; expr { expr="Motor_Cortex.units[0].act_m"; }; }; AssignExpr @[1] { desc="store minus phase act of motor unit 1"; flags=CAN_REVERT_TO_CODE; code_string="actM1 = Motor_Cortex.units[1].act_m"; pre_compile_code_string="actM1 = Motor_Cortex.units[1].act_m"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["actM1"]$$; expr { expr="Motor_Cortex.units[1].act_m"; }; }; AssignExpr @[2] { desc="store minus phase activation of motor ctx unit 0"; flags=CAN_REVERT_TO_CODE; code_string="actM2 = Motor_Cortex.units[2].act_m"; pre_compile_code_string="actM2 = Motor_Cortex.units[2].act_m"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["actM2"]$$; expr { expr="Motor_Cortex.units[2].act_m"; }; }; AssignExpr @[3] { desc="store minus phase act of motor unit 1"; flags=CAN_REVERT_TO_CODE; code_string="actM3 = Motor_Cortex.units[3].act_m"; pre_compile_code_string="actM3 = Motor_Cortex.units[3].act_m"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["actM3"]$$; expr { expr="Motor_Cortex.units[3].act_m"; }; }; AssignExpr @[4] { desc="store minus phase activation of motor ctx unit 0"; flags=CAN_REVERT_TO_CODE; code_string="actM4 = Motor_Cortex.units[4].act_m"; pre_compile_code_string="actM4 = Motor_Cortex.units[4].act_m"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["actM4"]$$; expr { expr="Motor_Cortex.units[4].act_m"; }; }; AssignExpr @[5] { desc="store minus phase act of motor unit 1"; flags=CAN_REVERT_TO_CODE; code_string="actM5 = Motor_Cortex.units[5].act_m"; pre_compile_code_string="actM5 = Motor_Cortex.units[5].act_m"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["actM5"]$$; expr { expr="Motor_Cortex.units[5].act_m"; }; }; AssignExpr @[6] { desc="store minus phase act of motor unit 1"; flags=CAN_REVERT_TO_CODE; code_string="actM6 = Motor_Cortex.units[6].act_m"; pre_compile_code_string="actM6 = Motor_Cortex.units[6].act_m"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["actM6"]$$; expr { expr="Motor_Cortex.units[6].act_m"; }; }; AssignExpr @[7] { desc="store minus phase act of motor unit 1"; flags=CAN_REVERT_TO_CODE; code_string="actM7 = Motor_Cortex.units[7].act_m"; pre_compile_code_string="actM7 = Motor_Cortex.units[7].act_m"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["actM7"]$$; expr { expr="Motor_Cortex.units[7].act_m"; }; }; MemberAssign @[8] { desc="set plus phase acts to reflect minus phase response"; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex.units[0].ext = actM0"; pre_compile_code_string="Motor_Cortex.units[0].ext = actM0"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["Motor_Cortex"]$; path="units[0].ext"; expr { expr="actM0"; }; update_after=0; }; MemberAssign @[9] { desc="set plus phase acts to reflect minus phase response"; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex.units[1].ext = actM1"; pre_compile_code_string="Motor_Cortex.units[1].ext = actM1"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["Motor_Cortex"]$; path="units[1].ext"; expr { expr="actM1"; }; update_after=0; }; MemberAssign @[10] { desc="set plus phase acts to reflect minus phase response"; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex.units[2].ext = actM2"; pre_compile_code_string="Motor_Cortex.units[2].ext = actM2"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["Motor_Cortex"]$; path="units[2].ext"; expr { expr="actM2"; }; update_after=0; }; MemberAssign @[11] { desc="set plus phase acts to reflect minus phase response"; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex.units[3].ext = actM3"; pre_compile_code_string="Motor_Cortex.units[3].ext = actM3"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["Motor_Cortex"]$; path="units[3].ext"; expr { expr="actM3"; }; update_after=0; }; MemberAssign @[12] { desc="set plus phase acts to reflect minus phase response"; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex.units[4].ext = actM4"; pre_compile_code_string="Motor_Cortex.units[4].ext = actM4"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["Motor_Cortex"]$; path="units[4].ext"; expr { expr="actM4"; }; update_after=0; }; MemberAssign @[13] { desc="set plus phase acts to reflect minus phase response"; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex.units[5].ext = actM5"; pre_compile_code_string="Motor_Cortex.units[5].ext = actM5"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["Motor_Cortex"]$; path="units[5].ext"; expr { expr="actM5"; }; update_after=0; }; MemberAssign @[14] { desc="set plus phase acts to reflect minus phase response"; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex.units[6].ext = actM6"; pre_compile_code_string="Motor_Cortex.units[6].ext = actM6"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["Motor_Cortex"]$; path="units[6].ext"; expr { expr="actM6"; }; update_after=0; }; MemberAssign @[15] { desc="set plus phase acts to reflect minus phase response"; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex.units[7].ext = actM7"; pre_compile_code_string="Motor_Cortex.units[7].ext = actM7"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["Motor_Cortex"]$; path="units[7].ext"; expr { expr="actM7"; }; update_after=0; }; ForLoop @[16] { desc=; flags=CAN_REVERT_TO_CODE; code_string="for (i = 0; i < 8; i++)"; pre_compile_code_string="for (i = 0; i < 8; i++)"; loop_code { name="ProgEl_List_189"; el_typ=ProgCode; el_def=0; CssExpr @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[i].bias.SetCnValName(0,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[i].bias.SetCnValName(0,0,\"wt\");"; expr { expr="Motor_Cortex->units[i].bias.SetCnValName(0,0,\"wt\");"; }; }; }; init { expr="i = 0"; }; test { expr="i < 8"; }; iter { expr="i++"; }; }; AssignExpr @[17] { desc="TS"; flags=CAN_REVERT_TO_CODE; code_string="action = 0"; pre_compile_code_string="action = 0"; result_var=.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["action"]$$; expr { expr="0"; }; }; If @[18] { desc=; flags=CAN_REVERT_TO_CODE; code_string="if (Output.acts.max >0)"; pre_compile_code_string="if (Output.acts.max >0)"; cond { expr="Output.acts.max >0"; }; true_code { name=; el_typ=ProgCode; el_def=0; If @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="if (( actM0+actM4 > actM1+actM5) & ( actM0+actM4 > actM2+actM6) & ( actM0+actM4 > actM3+actM7))"; pre_compile_code_string="if (( actM0+actM4 > actM1+actM5) & ( actM0+actM4 > actM2+actM6) & ( actM0+actM4 > actM3+actM7))"; cond { expr="( actM0+actM4 > actM1+actM5) & ( actM0+actM4 > actM2+actM6) & ( actM0+actM4 > actM3+actM7)"; }; true_code { name=; el_typ=ProgCode; el_def=0; CssExpr @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[0].bias.SetCnValName(10,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[0].bias.SetCnValName(10,0,\"wt\");"; expr { expr="Motor_Cortex->units[0].bias.SetCnValName(10,0,\"wt\");"; }; }; CssExpr @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[4].bias.SetCnValName(10,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[4].bias.SetCnValName(10,0,\"wt\");"; expr { expr="Motor_Cortex->units[4].bias.SetCnValName(10,0,\"wt\");"; }; }; AssignExpr @[2] { desc="TS"; flags=CAN_REVERT_TO_CODE; code_string="action = 1"; pre_compile_code_string="action = 1"; result_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["action"]$; expr { expr="1"; }; }; }; }; Else @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="else"; pre_compile_code_string="else"; else_code { name="else_code"; el_typ=ProgCode; el_def=0; If @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="if (( actM1+actM5 > actM0+actM4) & ( actM1+actM5 > actM2+actM6) & ( actM1+actM5 > actM3+actM7))"; pre_compile_code_string="if (( actM1+actM5 > actM0+actM4) & ( actM1+actM5 > actM2+actM6) & ( actM1+actM5 > actM3+actM7))"; cond { expr="( actM1+actM5 > actM0+actM4) & ( actM1+actM5 > actM2+actM6) & ( actM1+actM5 > actM3+actM7)"; }; true_code { name="true_code"; el_typ=ProgCode; el_def=0; CssExpr @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[1].bias.SetCnValName(10,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[1].bias.SetCnValName(10,0,\"wt\");"; expr { expr="Motor_Cortex->units[1].bias.SetCnValName(10,0,\"wt\");"; }; }; CssExpr @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[5].bias.SetCnValName(10,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[5].bias.SetCnValName(10,0,\"wt\");"; expr { expr="Motor_Cortex->units[5].bias.SetCnValName(10,0,\"wt\");"; }; }; AssignExpr @[2] { desc="TS"; flags=CAN_REVERT_TO_CODE; code_string="action = 2"; pre_compile_code_string="action = 2"; result_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["action"]$; expr { expr="2"; }; }; }; }; Else @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="else"; pre_compile_code_string="else"; else_code { name="else_code"; el_typ=ProgCode; el_def=0; If @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="if (( actM2+actM6 > actM0+actM4) & ( actM2+actM6 > actM1+actM5) & ( actM2+actM6 > actM3+actM7))"; pre_compile_code_string="if (( actM2+actM6 > actM0+actM4) & ( actM2+actM6 > actM1+actM5) & ( actM2+actM6 > actM3+actM7))"; cond { expr="( actM2+actM6 > actM0+actM4) & ( actM2+actM6 > actM1+actM5) & ( actM2+actM6 > actM3+actM7)"; }; true_code { name="true_code"; el_typ=ProgCode; el_def=0; CssExpr @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[2].bias.SetCnValName(10,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[2].bias.SetCnValName(10,0,\"wt\");"; expr { expr="Motor_Cortex->units[2].bias.SetCnValName(10,0,\"wt\");"; }; }; CssExpr @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[6].bias.SetCnValName(10,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[6].bias.SetCnValName(10,0,\"wt\");"; expr { expr="Motor_Cortex->units[6].bias.SetCnValName(10,0,\"wt\");"; }; }; AssignExpr @[2] { desc="TS"; flags=CAN_REVERT_TO_CODE; code_string="action = 3"; pre_compile_code_string="action = 3"; result_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["action"]$; expr { expr="3"; }; }; }; }; Else @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="else"; pre_compile_code_string="else"; else_code { name="else_code"; el_typ=ProgCode; el_def=0; CssExpr @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[3].bias.SetCnValName(10,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[3].bias.SetCnValName(10,0,\"wt\");"; expr { expr="Motor_Cortex->units[3].bias.SetCnValName(10,0,\"wt\");"; }; }; CssExpr @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[7].bias.SetCnValName(10,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[7].bias.SetCnValName(10,0,\"wt\");"; expr { expr="Motor_Cortex->units[7].bias.SetCnValName(10,0,\"wt\");"; }; }; AssignExpr @[2] { desc="TS"; flags=CAN_REVERT_TO_CODE; code_string="action = 4"; pre_compile_code_string="action = 4"; result_var=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["action"]$; expr { expr="4"; }; }; }; }; }; }; }; }; }; }; If @[19] { desc="if error is below threshold, given DA burst"; flags=CAN_REVERT_TO_CODE; code_string="if (network.trial_name.contains(\"8020\"))"; pre_compile_code_string="if (network.trial_name.contains(\"8020\"))"; cond { expr="network.trial_name.contains(\"8020\")"; }; true_code { name=; el_typ=ProgCode; el_def=0; If @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="if (action==1)"; pre_compile_code_string="if (action==1)"; cond { expr="action==1"; }; true_code { name="ProgEl_List_170"; el_typ=ProgCode; el_def=0; If @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="if (Random::IntZeroN(10)>1)"; pre_compile_code_string="if (Random::IntZeroN(10)>1)"; cond { expr="Random::IntZeroN(10)>1"; }; true_code { name="ProgEl_List_168"; el_typ=ProgCode; el_def=0; ForLoop @[0] { desc="loop over snc units"; flags=CAN_REVERT_TO_CODE; code_string="for (i = 0; i < k*snc_size; i++)"; pre_compile_code_string="for (i = 0; i < k*snc_size; i++)"; loop_code { name=; el_typ=ProgCode; el_def=0; MemberAssign @[0] { desc="set external input on SNC to high value for DA burst"; flags=CAN_REVERT_TO_CODE; code_string="SNc.units[i].ext = DA_burst_val"; pre_compile_code_string="SNc.units[i].ext = DA_burst_val"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["SNc"]$; path="units[i].ext"; expr { expr="DA_burst_val"; }; update_after=0; }; }; init { expr="i = 0"; }; test { expr="i < k*snc_size"; }; iter { expr="i++"; }; }; }; }; Else @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="else"; pre_compile_code_string="else"; else_code { name="else_code"; el_typ=ProgCode; el_def=0; ForLoop @[0] { desc="loop over snc units"; flags=CAN_REVERT_TO_CODE; code_string="for (i = 0; i < k*snc_size; i++)"; pre_compile_code_string="for (i = 0; i < k*snc_size; i++)"; loop_code { name="loop_code"; el_typ=ProgCode; el_def=0; MemberAssign @[0] { desc="set tonic DA val"; flags=CAN_REVERT_TO_CODE; code_string="SNc.units[i].ext = DA_dip_val"; pre_compile_code_string="SNc.units[i].ext = DA_dip_val"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["SNc"]$; path="units[i].ext"; expr { expr="DA_dip_val"; }; update_after=0; }; }; init { expr="i = 0"; }; test { expr="i < k*snc_size"; }; iter { expr="i++"; }; }; }; }; }; }; Else @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="else"; pre_compile_code_string="else"; else_code { name="else_code"; el_typ=ProgCode; el_def=0; If @[0] { desc="R2 = 80% neg, R3/R4 neutral"; flags=CAN_REVERT_TO_CODE; code_string="if (action==2)"; pre_compile_code_string="if (action==2)"; cond { expr="action==2"; }; true_code { name="true_code"; el_typ=ProgCode; el_def=0; If @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="if (Random::IntZeroN(10)>7)"; pre_compile_code_string="if (Random::IntZeroN(10)>7)"; cond { expr="Random::IntZeroN(10)>7"; }; true_code { name=; el_typ=ProgCode; el_def=0; ForLoop @[0] { desc="loop over snc units"; flags=CAN_REVERT_TO_CODE; code_string="for (i = 0; i < k*snc_size; i++)"; pre_compile_code_string="for (i = 0; i < k*snc_size; i++)"; loop_code { name=; el_typ=ProgCode; el_def=0; MemberAssign @[0] { desc="set external input on SNC to high value for DA burst"; flags=CAN_REVERT_TO_CODE; code_string="SNc.units[i].ext = DA_burst_val"; pre_compile_code_string="SNc.units[i].ext = DA_burst_val"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["SNc"]$; path="units[i].ext"; expr { expr="DA_burst_val"; }; update_after=0; }; }; init { expr="i = 0"; }; test { expr="i < k*snc_size"; }; iter { expr="i++"; }; }; }; }; Else @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="else"; pre_compile_code_string="else"; else_code { name="else_code"; el_typ=ProgCode; el_def=0; ForLoop @[0] { desc="loop over snc units"; flags=CAN_REVERT_TO_CODE; code_string="for (i = 0; i < snc_size; i++)"; pre_compile_code_string="for (i = 0; i < snc_size; i++)"; loop_code { name="loop_code"; el_typ=ProgCode; el_def=0; MemberAssign @[0] { desc="set tonic DA val"; flags=CAN_REVERT_TO_CODE; code_string="SNc.units[i].ext = DA_dip_val"; pre_compile_code_string="SNc.units[i].ext = DA_dip_val"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["SNc"]$; path="units[i].ext"; expr { expr="DA_dip_val"; }; update_after=0; }; }; init { expr="i = 0"; }; test { expr="i < snc_size"; }; iter { expr="i++"; }; }; }; }; }; }; Else @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="else"; pre_compile_code_string="else"; else_code { name="else_code"; el_typ=ProgCode; el_def=0; ForLoop @[0] { desc="loop over snc units"; flags=CAN_REVERT_TO_CODE; code_string="for (i = 0; i < k*snc_size; i++)"; pre_compile_code_string="for (i = 0; i < k*snc_size; i++)"; loop_code { name="loop_code"; el_typ=ProgCode; el_def=0; MemberAssign @[0] { desc="set tonic DA val"; flags=CAN_REVERT_TO_CODE; code_string="SNc.units[i].ext = tonic_DA"; pre_compile_code_string="SNc.units[i].ext = tonic_DA"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["SNc"]$; path="units[i].ext"; expr { expr="tonic_DA"; }; update_after=0; }; }; init { expr="i = 0"; }; test { expr="i < k*snc_size"; }; iter { expr="i++"; }; }; }; }; }; }; }; }; Else @[20] { desc=; flags=CAN_REVERT_TO_CODE; code_string="else"; pre_compile_code_string="else"; else_code { name="else_code"; el_typ=ProgCode; el_def=0; If @[0] { desc="R3 60 R4 40 R1/R2 neutral"; flags=CAN_REVERT_TO_CODE; code_string="if (network.trial_name.contains(\"6040\"))"; pre_compile_code_string="if (network.trial_name.contains(\"6040\"))"; cond { expr="network.trial_name.contains(\"6040\")"; }; true_code { name="true_code"; el_typ=ProgCode; el_def=0; If @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="if (action==3)"; pre_compile_code_string="if (action==3)"; cond { expr="action==3"; }; true_code { name=; el_typ=ProgCode; el_def=0; If @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="if (Random::IntZeroN(10)>3)"; pre_compile_code_string="if (Random::IntZeroN(10)>3)"; cond { expr="Random::IntZeroN(10)>3"; }; true_code { name=; el_typ=ProgCode; el_def=0; ForLoop @[0] { desc="loop over snc units"; flags=CAN_REVERT_TO_CODE; code_string="for (i = 0; i < k*snc_size; i++)"; pre_compile_code_string="for (i = 0; i < k*snc_size; i++)"; loop_code { name=; el_typ=ProgCode; el_def=0; MemberAssign @[0] { desc="set external input on SNC to high value for DA burst"; flags=CAN_REVERT_TO_CODE; code_string="SNc.units[i].ext = DA_burst_val"; pre_compile_code_string="SNc.units[i].ext = DA_burst_val"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["SNc"]$; path="units[i].ext"; expr { expr="DA_burst_val"; }; update_after=0; }; }; init { expr="i = 0"; }; test { expr="i < k*snc_size"; }; iter { expr="i++"; }; }; }; }; Else @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="else"; pre_compile_code_string="else"; else_code { name="else_code"; el_typ=ProgCode; el_def=0; ForLoop @[0] { desc="loop over snc units"; flags=CAN_REVERT_TO_CODE; code_string="for (i = 0; i < k*snc_size; i++)"; pre_compile_code_string="for (i = 0; i < k*snc_size; i++)"; loop_code { name="loop_code"; el_typ=ProgCode; el_def=0; MemberAssign @[0] { desc="set tonic DA val"; flags=CAN_REVERT_TO_CODE; code_string="SNc.units[i].ext = DA_dip_val"; pre_compile_code_string="SNc.units[i].ext = DA_dip_val"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["SNc"]$; path="units[i].ext"; expr { expr="DA_dip_val"; }; update_after=0; }; }; init { expr="i = 0"; }; test { expr="i < k*snc_size"; }; iter { expr="i++"; }; }; }; }; }; }; Else @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="else"; pre_compile_code_string="else"; else_code { name="else_code"; el_typ=ProgCode; el_def=0; If @[0] { desc="R2 = 80% neg, R3/R4 neutral"; flags=CAN_REVERT_TO_CODE; code_string="if (action==4)"; pre_compile_code_string="if (action==4)"; cond { expr="action==4"; }; true_code { name="true_code"; el_typ=ProgCode; el_def=0; If @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="if (Random::IntZeroN(10)>5)"; pre_compile_code_string="if (Random::IntZeroN(10)>5)"; cond { expr="Random::IntZeroN(10)>5"; }; true_code { name=; el_typ=ProgCode; el_def=0; ForLoop @[0] { desc="loop over snc units"; flags=CAN_REVERT_TO_CODE; code_string="for (i = 0; i < k*snc_size; i++)"; pre_compile_code_string="for (i = 0; i < k*snc_size; i++)"; loop_code { name=; el_typ=ProgCode; el_def=0; MemberAssign @[0] { desc="set external input on SNC to high value for DA burst"; flags=CAN_REVERT_TO_CODE; code_string="SNc.units[i].ext = DA_burst_val"; pre_compile_code_string="SNc.units[i].ext = DA_burst_val"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["SNc"]$; path="units[i].ext"; expr { expr="DA_burst_val"; }; update_after=0; }; }; init { expr="i = 0"; }; test { expr="i < k*snc_size"; }; iter { expr="i++"; }; }; }; }; Else @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="else"; pre_compile_code_string="else"; else_code { name="else_code"; el_typ=ProgCode; el_def=0; ForLoop @[0] { desc="loop over snc units"; flags=CAN_REVERT_TO_CODE; code_string="for (i = 0; i < snc_size; i++)"; pre_compile_code_string="for (i = 0; i < snc_size; i++)"; loop_code { name="loop_code"; el_typ=ProgCode; el_def=0; MemberAssign @[0] { desc="set tonic DA val"; flags=CAN_REVERT_TO_CODE; code_string="SNc.units[i].ext = DA_dip_val"; pre_compile_code_string="SNc.units[i].ext = DA_dip_val"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["SNc"]$; path="units[i].ext"; expr { expr="DA_dip_val"; }; update_after=0; }; }; init { expr="i = 0"; }; test { expr="i < snc_size"; }; iter { expr="i++"; }; }; }; }; }; }; Else @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="else"; pre_compile_code_string="else"; else_code { name="else_code"; el_typ=ProgCode; el_def=0; ForLoop @[0] { desc="loop over snc units"; flags=CAN_REVERT_TO_CODE; code_string="for (i = 0; i < k*snc_size; i++)"; pre_compile_code_string="for (i = 0; i < k*snc_size; i++)"; loop_code { name="loop_code"; el_typ=ProgCode; el_def=0; MemberAssign @[0] { desc="set tonic DA val"; flags=CAN_REVERT_TO_CODE; code_string="SNc.units[i].ext = tonic_DA"; pre_compile_code_string="SNc.units[i].ext = tonic_DA"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["SNc"]$; path="units[i].ext"; expr { expr="tonic_DA"; }; update_after=0; }; }; init { expr="i = 0"; }; test { expr="i < k*snc_size"; }; iter { expr="i++"; }; }; }; }; }; }; }; }; }; }; }; }; Else @[7] { desc=; flags=CAN_REVERT_TO_CODE; code_string="else"; pre_compile_code_string="else"; else_code { name="else_code"; el_typ=ProgCode; el_def=0; ForLoop @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="for (i = 0; i < 8; i++)"; pre_compile_code_string="for (i = 0; i < 8; i++)"; loop_code { name="loop_code"; el_typ=ProgCode; el_def=0; CssExpr @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[i].bias.SetCnValName(0,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[i].bias.SetCnValName(0,0,\"wt\");"; expr { expr="Motor_Cortex->units[i].bias.SetCnValName(0,0,\"wt\");"; }; }; }; init { expr="i = 0"; }; test { expr="i < 8"; }; iter { expr="i++"; }; }; If @[1] { desc="if error is below threshold, given DA burst"; flags=CAN_REVERT_TO_CODE; code_string="if (network.trial_name.contains(\"8020\"))"; pre_compile_code_string="if (network.trial_name.contains(\"8020\"))"; cond { expr="network.trial_name.contains(\"8020\")"; }; true_code { name="true_code"; el_typ=ProgCode; el_def=0; CssExpr @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[0].bias.SetCnValName(bias,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[0].bias.SetCnValName(bias,0,\"wt\");"; expr { expr="Motor_Cortex->units[0].bias.SetCnValName(bias,0,\"wt\");"; }; }; CssExpr @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[4].bias.SetCnValName(bias,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[4].bias.SetCnValName(bias,0,\"wt\");"; expr { expr="Motor_Cortex->units[4].bias.SetCnValName(bias,0,\"wt\");"; }; }; CssExpr @[2] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[1].bias.SetCnValName(bias,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[1].bias.SetCnValName(bias,0,\"wt\");"; expr { expr="Motor_Cortex->units[1].bias.SetCnValName(bias,0,\"wt\");"; }; }; CssExpr @[3] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[5].bias.SetCnValName(bias,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[5].bias.SetCnValName(bias,0,\"wt\");"; expr { expr="Motor_Cortex->units[5].bias.SetCnValName(bias,0,\"wt\");"; }; }; }; }; If @[2] { desc="if error is below threshold, given DA burst"; flags=CAN_REVERT_TO_CODE; code_string="if (network.trial_name.contains(\"6040\"))"; pre_compile_code_string="if (network.trial_name.contains(\"6040\"))"; cond { expr="network.trial_name.contains(\"6040\")"; }; true_code { name="true_code"; el_typ=ProgCode; el_def=0; CssExpr @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[2].bias.SetCnValName(bias,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[2].bias.SetCnValName(bias,0,\"wt\");"; expr { expr="Motor_Cortex->units[2].bias.SetCnValName(bias,0,\"wt\");"; }; }; CssExpr @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[6].bias.SetCnValName(bias,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[6].bias.SetCnValName(bias,0,\"wt\");"; expr { expr="Motor_Cortex->units[6].bias.SetCnValName(bias,0,\"wt\");"; }; }; CssExpr @[2] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[3].bias.SetCnValName(bias,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[3].bias.SetCnValName(bias,0,\"wt\");"; expr { expr="Motor_Cortex->units[3].bias.SetCnValName(bias,0,\"wt\");"; }; }; CssExpr @[3] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[7].bias.SetCnValName(bias,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[7].bias.SetCnValName(bias,0,\"wt\");"; expr { expr="Motor_Cortex->units[7].bias.SetCnValName(bias,0,\"wt\");"; }; }; }; }; If @[3] { desc="if error is below threshold, given DA burst"; flags=CAN_REVERT_TO_CODE; code_string="if (network.trial_name.contains(\"comboA\"))"; pre_compile_code_string="if (network.trial_name.contains(\"comboA\"))"; cond { expr="network.trial_name.contains(\"comboA\")"; }; true_code { name="true_code"; el_typ=ProgCode; el_def=0; CssExpr @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[0].bias.SetCnValName(bias,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[0].bias.SetCnValName(bias,0,\"wt\");"; expr { expr="Motor_Cortex->units[0].bias.SetCnValName(bias,0,\"wt\");"; }; }; CssExpr @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[4].bias.SetCnValName(bias,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[4].bias.SetCnValName(bias,0,\"wt\");"; expr { expr="Motor_Cortex->units[4].bias.SetCnValName(bias,0,\"wt\");"; }; }; If @[2] { desc=; flags=CAN_REVERT_TO_CODE; code_string="if (Random::IntZeroN(2)==0)"; pre_compile_code_string="if (Random::IntZeroN(2)==0)"; cond { expr="Random::IntZeroN(2)==0"; }; true_code { name=; el_typ=ProgCode; el_def=0; CssExpr @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[2].bias.SetCnValName(bias,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[2].bias.SetCnValName(bias,0,\"wt\");"; expr { expr="Motor_Cortex->units[2].bias.SetCnValName(bias,0,\"wt\");"; }; }; CssExpr @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[6].bias.SetCnValName(bias,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[6].bias.SetCnValName(bias,0,\"wt\");"; expr { expr="Motor_Cortex->units[6].bias.SetCnValName(bias,0,\"wt\");"; }; }; }; }; Else @[3] { desc=; flags=CAN_REVERT_TO_CODE; code_string="else"; pre_compile_code_string="else"; else_code { name="else_code"; el_typ=ProgCode; el_def=0; CssExpr @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[3].bias.SetCnValName(bias,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[3].bias.SetCnValName(bias,0,\"wt\");"; expr { expr="Motor_Cortex->units[3].bias.SetCnValName(bias,0,\"wt\");"; }; }; CssExpr @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[7].bias.SetCnValName(bias,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[7].bias.SetCnValName(bias,0,\"wt\");"; expr { expr="Motor_Cortex->units[7].bias.SetCnValName(bias,0,\"wt\");"; }; }; }; }; }; }; If @[4] { desc="if error is below threshold, given DA burst"; flags=CAN_REVERT_TO_CODE; code_string="if (network.trial_name.contains(\"comboB\"))"; pre_compile_code_string="if (network.trial_name.contains(\"comboB\"))"; cond { expr="network.trial_name.contains(\"comboB\")"; }; true_code { name="true_code"; el_typ=ProgCode; el_def=0; CssExpr @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[1].bias.SetCnValName(bias,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[1].bias.SetCnValName(bias,0,\"wt\");"; expr { expr="Motor_Cortex->units[1].bias.SetCnValName(bias,0,\"wt\");"; }; }; CssExpr @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[5].bias.SetCnValName(bias,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[5].bias.SetCnValName(bias,0,\"wt\");"; expr { expr="Motor_Cortex->units[5].bias.SetCnValName(bias,0,\"wt\");"; }; }; If @[2] { desc=; flags=CAN_REVERT_TO_CODE; code_string="if (Random::IntZeroN(2)==0)"; pre_compile_code_string="if (Random::IntZeroN(2)==0)"; cond { expr="Random::IntZeroN(2)==0"; }; true_code { name="ProgEl_List_200"; el_typ=ProgCode; el_def=0; CssExpr @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[2].bias.SetCnValName(bias,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[2].bias.SetCnValName(bias,0,\"wt\");"; expr { expr="Motor_Cortex->units[2].bias.SetCnValName(bias,0,\"wt\");"; }; }; CssExpr @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[6].bias.SetCnValName(bias,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[6].bias.SetCnValName(bias,0,\"wt\");"; expr { expr="Motor_Cortex->units[6].bias.SetCnValName(bias,0,\"wt\");"; }; }; }; }; Else @[3] { desc=; flags=CAN_REVERT_TO_CODE; code_string="else"; pre_compile_code_string="else"; else_code { name="else_code"; el_typ=ProgCode; el_def=0; CssExpr @[0] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[3].bias.SetCnValName(bias,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[3].bias.SetCnValName(bias,0,\"wt\");"; expr { expr="Motor_Cortex->units[3].bias.SetCnValName(bias,0,\"wt\");"; }; }; CssExpr @[1] { desc=; flags=CAN_REVERT_TO_CODE; code_string="Motor_Cortex->units[7].bias.SetCnValName(bias,0,\"wt\");"; pre_compile_code_string="Motor_Cortex->units[7].bias.SetCnValName(bias,0,\"wt\");"; expr { expr="Motor_Cortex->units[7].bias.SetCnValName(bias,0,\"wt\");"; }; }; }; }; }; }; ForLoop @[5] { desc="loop over snc units"; flags=CAN_REVERT_TO_CODE; code_string="for (i = 0; i < k*snc_size; i++)"; pre_compile_code_string="for (i = 0; i < k*snc_size; i++)"; loop_code { name="loop_code"; el_typ=ProgCode; el_def=0; MemberAssign @[0] { desc="set tonic DA val"; flags=CAN_REVERT_TO_CODE; code_string="SNc.units[i].ext = tonic_DA"; pre_compile_code_string="SNc.units[i].ext = tonic_DA"; obj=$.projects["BG_4s_inhib_PS_e7a"].programs.gp["LeabraAll_Std"]["DA_Rew_Punish"].vars["SNc"]$; path="units[i].ext"; expr { expr="tonic_DA"; }; update_after=0; }; }; init { expr="i = 0"; }; test { expr="i < k*snc_size"; }; iter { expr="i++"; }; }; }; }; }; step_prog=NULL; step_n=1; doc { name="doc"; desc=; web_doc=0; wiki=; url="local"; full_url="local"; text_size=1; text=; html_text=; }; }; }; }; viewers { name="viewers"; el_typ=MainWindowViewer; el_def=0; MainWindowViewer @["Browser"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["view_win_lft"] { name="view_win_lft"; value 8 0=-0.04097222; val_type_fixed=0; }; UserDataItem @["view_win_top"] { name="view_win_top"; value 8 0=0; val_type_fixed=0; }; UserDataItem @["view_win_wd"] { name="view_win_wd"; value 8 0=1; val_type_fixed=0; }; UserDataItem @["view_win_ht"] { name="view_win_ht"; value 8 0=0.7968561; val_type_fixed=0; }; UserDataItem @["view_win_iconified"] { name="view_win_iconified"; value 1 0=0; val_type_fixed=0; }; UserDataItem @["view_splitter_state"] { name="view_splitter_state"; value 9 0="AAAA/wAAAAEAAAADAAAAtwAAAmAAAAIuAQAAAAYBAAAAAQE="; val_type_fixed=0; }; }; name="Browser"; m_data=.projects["BG_4s_inhib_PS_e7a"]$$; cur_font_size=12; visible=1; win_name=".projects[\"BG_4s_inhib_PS_e7a\"] - BG_4s_inhib_PS_e7a.proj"; m_is_root=0; m_is_viewer_xor_browser=0; m_is_proj_viewer=1; m_is_dialog=0; toolbars { name="toolbars"; el_typ=ToolBar; el_def=0; ToolBar @["Application"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["view_win_visible"] { name="view_win_visible"; value 1 0=1; val_type_fixed=0; }; }; name="Application"; m_data=NULL; cur_font_size=12; visible=0; lft=0; top=0; o=Horizontal; }; }; frames { name="frames"; el_typ=BrowseViewerTaBase; el_def=0; BrowseViewerTaBase @["Navigator"] { name="Navigator"; m_data=NULL; cur_font_size=12; visible=1; root_typ=LeabraProject; root_md=NULL; m_root=$.projects["BG_4s_inhib_PS_e7a"]$; }; PanelViewer @["Editor"] { name="Editor"; m_data=NULL; cur_font_size=12; visible=1; }; T3PanelViewer @["T3Frames"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_78"; el_typ=UserDataItem; el_def=0; UserDataItem @["view_panel_selected"] { name="view_panel_selected"; value 1 0=1; val_type_fixed=0; }; }; name="T3Frames"; m_data=NULL; cur_font_size=12; visible=1; panels { name="panels"; el_typ=T3Panel; el_def=0; T3Panel @["BGLoop1"] { name="BGLoop1"; m_data=NULL; cur_font_size=12; visible=1; root_view { name="root_view"; m_data=NULL; cur_font_size=12; m_transform=NULL; children { name="children"; el_typ=NetView; el_def=0; NetView @["BG_4s_View"] { name="BG_4s_View"; m_data=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"]$; cur_font_size=12; taTransform @*(.m_transform) {scale={x=1: y=1: z=1: }: rotate={x=1: y=0: z=0: rot=0.35: }: translate={x=0: y=0: z=0: }: }; main_xform {scale={x=1: y=1: z=1: }: rotate={x=1: y=0: z=0: rot=0.35: }: translate={x=0: y=0: z=0: }: }; annotations { name="annotations"; el_typ=T3Annotation; el_def=0; }; annote_children { name="annote_children"; el_typ=T3DataView; el_def=0; }; display=0; lay_layout=THREE_D; lay_mv=1; net_text=1; show_iconified=0; net_text_xform {scale={x=0: y=0: z=0: }: rotate={x=1: y=0: z=0: rot=0: }: translate={x=0: y=-0.5: z=0: }: }; net_text_rot=0; cur_unit_vals{ act; }; unit_src_path=".layers[1].units[4]"; con_type=ANY_CON; hist_idx=0; hist_save=1; hist_max=100; hist_ff=5; unit_disp_mode=UDM_CYLINDER; unit_text_disp=UTD_NONE; max_size {x=40: y=9: z=2.333333: }; max_size2d {x=10: y=43: z=1: }; eff_max_size {x=40: y=9: z=2.333333: }; font_sizes { net_name=0.05; net_vals=0.05; layer=0.04; layer_min=0.01; layer_vals=0.03; prjn=0.01; unit=0.02; un_nm_len=3; }; view_params { xy_square=0; unit_spacing=0.05; prjn_disp=L_R_B; prjn_name=0; prjn_width=0.002; prjn_trans=0.5; lay_trans=0.4; unit_trans=0.4; laygp_width=1; show_laygp=1; }; wt_line_disp=0; wt_line_width=4; wt_line_thr=0.8; wt_line_swt=0; wt_prjn_k_un=4; wt_prjn_k_gp=1; wt_prjn_lay=NULL; snap_bord_disp=0; snap_bord_width=4; scale { name="scale"; chunks=133; min=-1; max=1; last_min=0; last_max=0; range=1; zero=0; spec=.colorspecs["C_ColdHot"]$$; auto_scale=0; }; scale_ranges { name="scale_ranges"; el_typ=ScaleRange; el_def=0; ScaleRange @[0] { var_name="act"; auto_scale=0; min=-1; max=1; }; ScaleRange @[1] { var_name="r.wt"; auto_scale=0; min=-1; max=1; }; ScaleRange @[2] { var_name="s.wt"; auto_scale=0; min=-1; max=1; }; ScaleRange @[3] { var_name="r.pdw"; auto_scale=1; min=-0.02148556; max=0.02148556; }; ScaleRange @[4] { var_name="act_p"; auto_scale=0; min=-1; max=1; }; ScaleRange @[5] { var_name="act_dif"; auto_scale=0; min=-1; max=1; }; ScaleRange @[6] { var_name="act_m"; auto_scale=0; min=-1; max=1; }; ScaleRange @[7] { var_name="bias.wt"; auto_scale=0; min=-1; max=1; }; ScaleRange @[8] { var_name="targ"; auto_scale=0; min=-1; max=1; }; ScaleRange @[9] { var_name="ext"; auto_scale=0; min=-1; max=1; }; ScaleRange @[10] { var_name="net"; auto_scale=0; min=-1; max=1; }; }; lay_disp_modes{ Input=-1;GP_Int=-1;GP_Ext=-1;Thalamus=-1;PMC=-1;Output=-1;SNc=-1;Context=-1;Go=-1;NoGo=-1;Striatum_Inhib=-1; }; }; }; }; bg_color {r=0.8: g=0.8: b=0.8: a=1: }; text_color {r=0: g=0: b=0: a=1: }; headlight_on=1; headlight_intensity=1; ambient_light=0; camera_params { field_of_view=45; near_distance=0.1; focal=5; far_distance=1000; }; stereo_view=STEREO_NONE; saved_views { name="saved_views"; el_typ=T3SavedView; el_def=0; T3SavedView @["Vw_0"] { name="Vw_0"; view_saved=1; pos {x=0.50625: y=0.9137102: z=2.301011: }; orient {x=0: y=0: z=1: rot=0: }; focal_dist=2.505665; }; T3SavedView @["Vw_1"] { name="Vw_1"; view_saved=0; pos {x=0: y=0: z=0: }; orient {x=0: y=0: z=1: rot=0: }; focal_dist=0; }; T3SavedView @["Vw_2"] { name="Vw_2"; view_saved=0; pos {x=0: y=0: z=0: }; orient {x=0: y=0: z=1: rot=0: }; focal_dist=0; }; T3SavedView @["Vw_3"] { name="Vw_3"; view_saved=0; pos {x=0: y=0: z=0: }; orient {x=0: y=0: z=1: rot=0: }; focal_dist=0; }; T3SavedView @["Vw_4"] { name="Vw_4"; view_saved=0; pos {x=0: y=0: z=0: }; orient {x=0: y=0: z=1: rot=0: }; focal_dist=0; }; T3SavedView @["Vw_5"] { name="Vw_5"; view_saved=0; pos {x=0: y=0: z=0: }; orient {x=0: y=0: z=1: rot=0: }; focal_dist=0; }; T3SavedView @["Vw_6"] { name="Vw_6"; view_saved=0; pos {x=0: y=0: z=0: }; orient {x=0: y=0: z=1: rot=0: }; focal_dist=0; }; T3SavedView @["Vw_7"] { name="Vw_7"; view_saved=0; pos {x=0: y=0: z=0: }; orient {x=0: y=0: z=1: rot=0: }; focal_dist=0; }; T3SavedView @["Vw_8"] { name="Vw_8"; view_saved=0; pos {x=0: y=0: z=0: }; orient {x=0: y=0: z=1: rot=0: }; focal_dist=0; }; T3SavedView @["Vw_9"] { name="Vw_9"; view_saved=0; pos {x=0: y=0: z=0: }; orient {x=0: y=0: z=1: rot=0: }; focal_dist=0; }; }; }; T3Panel @["Stats"] { name="Stats"; m_data=NULL; cur_font_size=12; visible=1; root_view { name="root_view"; m_data=NULL; cur_font_size=12; m_transform=NULL; children { name="children"; el_typ=GraphTableView; el_def=0; GraphTableView @["Stats_Graph"] { name="Stats_Graph"; m_data=$.projects["BG_4s_inhib_PS_e7a"].data.gp["AnalysisData"]["Stats"]$; cur_font_size=12; taTransform @*(.m_transform) {scale={x=1: y=1: z=1: }: rotate={x=0: y=0: z=1: rot=0: }: translate={x=1: y=0: z=0: }: }; children { name="children"; el_typ=GraphColView; el_def=0; GraphColView @["trial_name_group_group"] { name="trial_name_group_group"; m_data=.projects["BG_4s_inhib_PS_e7a"].data.gp["AnalysisData"]["Stats"].data["trial_name_group_group"]$$; cur_font_size=12; m_transform=NULL; visible=1; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; data_range {min=0: max=0: }; }; GraphColView @["r1_mean_mean"] { name="r1_mean_mean"; m_data=.projects["BG_4s_inhib_PS_e7a"].data.gp["AnalysisData"]["Stats"].data["r1_mean_mean"]$$; cur_font_size=12; m_transform=NULL; visible=1; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; data_range {min=0: max=0: }; }; GraphColView @["r1_mean_sem"] { name="r1_mean_sem"; m_data=.projects["BG_4s_inhib_PS_e7a"].data.gp["AnalysisData"]["Stats"].data["r1_mean_sem"]$$; cur_font_size=12; m_transform=NULL; visible=1; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; data_range {min=0: max=0: }; }; GraphColView @["r2_mean_mean"] { name="r2_mean_mean"; m_data=.projects["BG_4s_inhib_PS_e7a"].data.gp["AnalysisData"]["Stats"].data["r2_mean_mean"]$$; cur_font_size=12; m_transform=NULL; visible=1; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; data_range {min=0: max=0: }; }; GraphColView @["r2_mean_sem"] { name="r2_mean_sem"; m_data=.projects["BG_4s_inhib_PS_e7a"].data.gp["AnalysisData"]["Stats"].data["r2_mean_sem"]$$; cur_font_size=12; m_transform=NULL; visible=1; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; data_range {min=0: max=0: }; }; GraphColView @["r3_mean_mean"] { name="r3_mean_mean"; m_data=.projects["BG_4s_inhib_PS_e7a"].data.gp["AnalysisData"]["Stats"].data["r3_mean_mean"]$$; cur_font_size=12; m_transform=NULL; visible=1; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; data_range {min=0: max=0: }; }; GraphColView @["r3_mean_sem"] { name="r3_mean_sem"; m_data=.projects["BG_4s_inhib_PS_e7a"].data.gp["AnalysisData"]["Stats"].data["r3_mean_sem"]$$; cur_font_size=12; m_transform=NULL; visible=1; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; data_range {min=0: max=0: }; }; GraphColView @["r4_mean_mean"] { name="r4_mean_mean"; m_data=.projects["BG_4s_inhib_PS_e7a"].data.gp["AnalysisData"]["Stats"].data["r4_mean_mean"]$$; cur_font_size=12; m_transform=NULL; visible=1; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; data_range {min=0: max=0: }; }; GraphColView @["r4_mean_sem"] { name="r4_mean_sem"; m_data=.projects["BG_4s_inhib_PS_e7a"].data.gp["AnalysisData"]["Stats"].data["r4_mean_sem"]$$; cur_font_size=12; m_transform=NULL; visible=1; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; data_range {min=0: max=0: }; }; }; main_xform {scale={x=1: y=1: z=1: }: rotate={x=0: y=0: z=1: rot=0: }: translate={x=1: y=0: z=0: }: }; annotations { name="annotations"; el_typ=T3Annotation; el_def=0; }; annote_children { name="annote_children"; el_typ=T3DataView; el_def=0; }; view_rows=10000; view_range {min=0: max=3: }; page_rows=-1; display_on=1; manip_ctrl_on=1; graph_type=BAR; plot_style=LINE; negative_draw=0; negative_draw_z=1; line_width=2; point_size=0.01; point_spacing=1; solid_lines=0; bar_space=0.2; bar_depth=0.01; label_spacing=-1; width=1; depth=1; axis_font_size=0.05; label_font_size=0.04; x_axis { name="x_axis"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=1; axis=X; col_name="trial_name_group_group"; is_string=1; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=1: min=1.5: fix_max=1: max=3.6: }; show_axis_label=1; show_alt_axis_label=1; color {name="black": r=0: g=0: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; row_num=1; }; z_axis { name="z_axis"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Z; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=1: }; show_axis_label=1; show_alt_axis_label=1; color {name="black": r=0: g=0: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; row_num=0; }; tot_plots=16; plots { name="plots"; el_typ=GraphPlotView; el_def=0; GraphPlotView @["Stats_Graph_plot_1"] { name="Stats_Graph_plot_1"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=1; axis=Y; col_name="r1_mean_mean"; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0.001976285: fix_max=1: max=1: }; show_axis_label=1; show_alt_axis_label=1; color {name="black": r=0: g=0: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=SOLID; point_style=CIRCLE; alt_y=0; }; GraphPlotView @["Stats_Graph_plot_2"] { name="Stats_Graph_plot_2"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=1; axis=Y; col_name="r2_mean_mean"; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0.00287226: fix_max=0: max=0.3872892: }; show_axis_label=1; show_alt_axis_label=1; color {name="red": r=1: g=0: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=SOLID; point_style=SQUARE; alt_y=0; }; GraphPlotView @["Stats_Graph_plot_3"] { name="Stats_Graph_plot_3"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="blue": r=0: g=0: b=1: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=SOLID; point_style=DIAMOND; alt_y=0; }; GraphPlotView @["Stats_Graph_plot_4"] { name="Stats_Graph_plot_4"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="green3": r=0: g=0.8039216: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=SOLID; point_style=TRIANGLE_UP; alt_y=0; }; GraphPlotView @["Stats_Graph_plot_5"] { name="Stats_Graph_plot_5"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="purple": r=0.627451: g=0.1254902: b=0.9411765: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=SOLID; point_style=PLUS; alt_y=0; }; GraphPlotView @["Stats_Graph_plot_6"] { name="Stats_Graph_plot_6"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="orange": r=1: g=0.6470588: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=SOLID; point_style=CROSS; alt_y=0; }; GraphPlotView @["Stats_Graph_plot_7"] { name="Stats_Graph_plot_7"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="brown": r=0.6470588: g=0.1647059: b=0.1647059: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=SOLID; point_style=STAR; alt_y=0; }; GraphPlotView @["Stats_Graph_plot_8"] { name="Stats_Graph_plot_8"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="chartreuse": r=0.4980392: g=1: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=SOLID; point_style=TRIANGLE_DN; alt_y=0; }; GraphPlotView @["Stats_Graph_plot_9"] { name="Stats_Graph_plot_9"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="black": r=0: g=0: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=DOT; point_style=CIRCLE; alt_y=0; }; GraphPlotView @["Stats_Graph_plot_10"] { name="Stats_Graph_plot_10"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="red": r=1: g=0: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=DOT; point_style=SQUARE; alt_y=0; }; GraphPlotView @["Stats_Graph_plot_11"] { name="Stats_Graph_plot_11"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="blue": r=0: g=0: b=1: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=DOT; point_style=DIAMOND; alt_y=0; }; GraphPlotView @["Stats_Graph_plot_12"] { name="Stats_Graph_plot_12"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="green3": r=0: g=0.8039216: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=DOT; point_style=TRIANGLE_UP; alt_y=0; }; GraphPlotView @["Stats_Graph_plot_13"] { name="Stats_Graph_plot_13"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="purple": r=0.627451: g=0.1254902: b=0.9411765: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=DOT; point_style=TRIANGLE_DN; alt_y=0; }; GraphPlotView @["Stats_Graph_plot_14"] { name="Stats_Graph_plot_14"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="orange": r=1: g=0.6470588: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=DOT; point_style=PLUS; alt_y=0; }; GraphPlotView @["Stats_Graph_plot_15"] { name="Stats_Graph_plot_15"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="brown": r=0.6470588: g=0.1647059: b=0.1647059: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=DOT; point_style=CROSS; alt_y=0; }; GraphPlotView @["Stats_Graph_plot_16"] { name="Stats_Graph_plot_16"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="chartreuse": r=0.4980392: g=1: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=DOT; point_style=STAR; alt_y=0; }; }; errbars { name="errbars"; el_typ=GraphPlotView; el_def=0; GraphPlotView @["Stats_Graph_err_1"] { name="Stats_Graph_err_1"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=1; axis=Y; col_name="r1_mean_sem"; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="black": r=0: g=0: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=SOLID; point_style=CIRCLE; alt_y=0; }; GraphPlotView @["Stats_Graph_err_2"] { name="Stats_Graph_err_2"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=1; axis=Y; col_name="r2_mean_sem"; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="black": r=0: g=0: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=SOLID; point_style=CIRCLE; alt_y=0; }; GraphPlotView @["Stats_Graph_err_3"] { name="Stats_Graph_err_3"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="black": r=0: g=0: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=SOLID; point_style=CIRCLE; alt_y=0; }; GraphPlotView @["Stats_Graph_err_4"] { name="Stats_Graph_err_4"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="black": r=0: g=0: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=SOLID; point_style=CIRCLE; alt_y=0; }; GraphPlotView @["Stats_Graph_err_5"] { name="Stats_Graph_err_5"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="black": r=0: g=0: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=SOLID; point_style=CIRCLE; alt_y=0; }; GraphPlotView @["Stats_Graph_err_6"] { name="Stats_Graph_err_6"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="black": r=0: g=0: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=SOLID; point_style=CIRCLE; alt_y=0; }; GraphPlotView @["Stats_Graph_err_7"] { name="Stats_Graph_err_7"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="black": r=0: g=0: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=SOLID; point_style=CIRCLE; alt_y=0; }; GraphPlotView @["Stats_Graph_err_8"] { name="Stats_Graph_err_8"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="black": r=0: g=0: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=SOLID; point_style=CIRCLE; alt_y=0; }; GraphPlotView @["Stats_Graph_err_9"] { name="Stats_Graph_err_9"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="black": r=0: g=0: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=SOLID; point_style=CIRCLE; alt_y=0; }; GraphPlotView @["Stats_Graph_err_10"] { name="Stats_Graph_err_10"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="black": r=0: g=0: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=SOLID; point_style=CIRCLE; alt_y=0; }; GraphPlotView @["Stats_Graph_err_11"] { name="Stats_Graph_err_11"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="black": r=0: g=0: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=SOLID; point_style=CIRCLE; alt_y=0; }; GraphPlotView @["Stats_Graph_err_12"] { name="Stats_Graph_err_12"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="black": r=0: g=0: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=SOLID; point_style=CIRCLE; alt_y=0; }; GraphPlotView @["Stats_Graph_err_13"] { name="Stats_Graph_err_13"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="black": r=0: g=0: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=SOLID; point_style=CIRCLE; alt_y=0; }; GraphPlotView @["Stats_Graph_err_14"] { name="Stats_Graph_err_14"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="black": r=0: g=0: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=SOLID; point_style=CIRCLE; alt_y=0; }; GraphPlotView @["Stats_Graph_err_15"] { name="Stats_Graph_err_15"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="black": r=0: g=0: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=SOLID; point_style=CIRCLE; alt_y=0; }; GraphPlotView @["Stats_Graph_err_16"] { name="Stats_Graph_err_16"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="black": r=0: g=0: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; line_style=SOLID; point_style=CIRCLE; alt_y=0; }; }; err_spacing=1; err_bar_width=0.02; color_mode=FIXED; color_axis { name="color_axis"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="black": r=0: g=0: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; row_num=0; }; colorscale { name="colorscale"; chunks=133; min=-1; max=1; last_min=-1; last_max=1; range=0; zero=0; spec=$.colorspecs["C_ColdHot"]$; auto_scale=0; }; raster_axis { name="raster_axis"; m_data=NULL; cur_font_size=12; m_transform=NULL; on=0; axis=Y; col_name=; is_string=0; is_matrix=0; n_cells=1; matrix_cell=0; fixed_range {fix_min=0: min=0: fix_max=0: max=0: }; show_axis_label=1; show_alt_axis_label=1; color {name="black": r=0: g=0: b=0: a=1: desc="": }; n_ticks=10; axis_length=1; row_num=0; }; thresh=0.5; thr_line_len=0.48; matrix_mode=SEP_GRAPHS; mat_layout=BOT_ZERO; mat_odd_vert=1; two_d_font=0; two_d_font_scale=350; }; }; }; bg_color {r=0.8: g=0.8: b=0.8: a=1: }; text_color {r=0: g=0: b=0: a=1: }; headlight_on=1; headlight_intensity=1; ambient_light=0; camera_params { field_of_view=45; near_distance=0.1; focal=5; far_distance=1000; }; stereo_view=STEREO_NONE; saved_views { name="saved_views"; el_typ=T3SavedView; el_def=0; T3SavedView @["Vw_0"] { name="Vw_0"; view_saved=1; pos {x=1.4275: y=0.4449999: z=1.624621: }; orient {x=0: y=0: z=1: rot=0: }; focal_dist=1.637121; }; T3SavedView @["Vw_1"] { name="Vw_1"; view_saved=0; pos {x=0: y=0: z=0: }; orient {x=0: y=0: z=1: rot=0: }; focal_dist=0; }; T3SavedView @["Vw_2"] { name="Vw_2"; view_saved=0; pos {x=0: y=0: z=0: }; orient {x=0: y=0: z=1: rot=0: }; focal_dist=0; }; T3SavedView @["Vw_3"] { name="Vw_3"; view_saved=0; pos {x=0: y=0: z=0: }; orient {x=0: y=0: z=1: rot=0: }; focal_dist=0; }; T3SavedView @["Vw_4"] { name="Vw_4"; view_saved=0; pos {x=0: y=0: z=0: }; orient {x=0: y=0: z=1: rot=0: }; focal_dist=0; }; T3SavedView @["Vw_5"] { name="Vw_5"; view_saved=0; pos {x=0: y=0: z=0: }; orient {x=0: y=0: z=1: rot=0: }; focal_dist=0; }; T3SavedView @["Vw_6"] { name="Vw_6"; view_saved=0; pos {x=0: y=0: z=0: }; orient {x=0: y=0: z=1: rot=0: }; focal_dist=0; }; T3SavedView @["Vw_7"] { name="Vw_7"; view_saved=0; pos {x=0: y=0: z=0: }; orient {x=0: y=0: z=1: rot=0: }; focal_dist=0; }; T3SavedView @["Vw_8"] { name="Vw_8"; view_saved=0; pos {x=0: y=0: z=0: }; orient {x=0: y=0: z=1: rot=0: }; focal_dist=0; }; T3SavedView @["Vw_9"] { name="Vw_9"; view_saved=0; pos {x=0: y=0: z=0: }; orient {x=0: y=0: z=1: rot=0: }; focal_dist=0; }; }; }; }; }; }; docks { name="docks"; el_typ=ToolBoxDockViewer; el_def=0; ToolBoxDockViewer @["Tools"] { UserDataItem_List @*(.user_data_) { name=; el_typ=UserDataItem; el_def=0; UserDataItem @["view_win_lft"] { name="view_win_lft"; value 8 0=0; val_type_fixed=0; }; UserDataItem @["view_win_top"] { name="view_win_top"; value 8 0=0.03264813; val_type_fixed=0; }; UserDataItem @["view_win_wd"] { name="view_win_wd"; value 8 0=0.05694444; val_type_fixed=0; }; UserDataItem @["view_win_ht"] { name="view_win_ht"; value 8 0=0.6856107; val_type_fixed=0; }; UserDataItem @["view_win_iconified"] { name="view_win_iconified"; value 1 0=0; val_type_fixed=0; }; UserDataItem @["view_visible"] { name="view_visible"; value 1 0=1; val_type_fixed=0; }; }; name="Tools"; m_data=NULL; cur_font_size=12; visible=1; win_name=; dock_flags=DV_MOVABLE|DV_FLOATABLE; dock_area=1; }; }; }; }; save_view=1; save_as_only=0; auto_name=1; last_change_desc=" "; tree_state{ .;.docs;.wizards;.ctrl_panels;.data;.data.gp[0];.data.gp[1];.data.gp[2];.programs;.programs.gp[0];.networks; }; networks { name="networks"; el_typ=LeabraNetwork; el_def=0; LeabraNetwork @["BG_4s"] { UserDataItem_List @*(.user_data_) { name="UserDataItem_List_90"; el_typ=UserDataItem; el_def=0; UserDataItem @["trial_cos_diff"] { name="trial_cos_diff"; value 1 0=1; val_type_fixed=1; }; UserDataItem @["avg_act_diff"] { name="avg_act_diff"; value 1 0=1; val_type_fixed=1; }; UserDataItem @["cos_diff"] { name="cos_diff"; value 1 0=1; val_type_fixed=1; }; UserDataItem @["cos_err"] { name="cos_err"; value 1 0=1; val_type_fixed=1; }; UserDataItem @["norm_err"] { name="norm_err"; value 1 0=1; val_type_fixed=1; }; UserDataItem @["ext_rew"] { name="ext_rew"; value 1 0=1; val_type_fixed=1; }; UserDataItem @["maxda"] { name="maxda"; value 1 0=1; val_type_fixed=1; }; UserDataItem @["minus_output_name"] { name="minus_output_name"; value 1 0=1; val_type_fixed=1; }; UserDataItem @["minus_cycles"] { name="minus_cycles"; value 1 0=1; val_type_fixed=1; }; UserDataItem @["ct_cycle"] { name="ct_cycle"; value 1 0=1; val_type_fixed=1; }; UserDataItem @["phase_no"] { name="phase_no"; value 1 0=1; val_type_fixed=1; }; UserDataItem @["phase"] { name="phase"; value 1 0=1; val_type_fixed=1; }; UserDataItem @["sse"] { name="sse"; value 1 0=1; val_type_fixed=1; }; UserDataItem @["output_name"] { name="output_name"; value 1 0=1; val_type_fixed=1; }; UserDataItem @["trial_name"] { name="trial_name"; value 1 0=1; val_type_fixed=1; }; UserDataItem @["group_name"] { name="group_name"; value 1 0=1; val_type_fixed=1; }; UserDataItem @["time"] { name="time"; value 1 0=1; val_type_fixed=1; }; UserDataItem @["cycle"] { name="cycle"; value 1 0=1; val_type_fixed=1; }; UserDataItem @["tick"] { name="tick"; value 1 0=1; val_type_fixed=1; }; UserDataItem @["trial"] { name="trial"; value 1 0=1; val_type_fixed=1; }; UserDataItem @["group"] { name="group"; value 1 0=1; val_type_fixed=1; }; UserDataItem @["epoch"] { name="epoch"; value 1 0=1; val_type_fixed=1; }; UserDataItem @["batch"] { name="batch"; value 1 0=1; val_type_fixed=1; }; }; name="BG_4s"; desc=; specs { name="specs"; el_typ=ConSpec; el_def=0; ConSpec @["ConSpec_0"] { name="ConSpec_0"; desc=; unique{ }; children { name="children"; el_typ=ConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=0: var=0.5: par=1: }; wt_limits {type=NONE: min=-1: max=1: sym=0: }; }; UniformRndPrjnSpec @["STN_GPe_RndPrjnSpec_0"] { name="STN_GPe_RndPrjnSpec_0"; desc=; unique{ }; children { name="children"; el_typ=UniformRndPrjnSpec; el_def=0; }; self_con=0; init_wts=0; add_rnd_wts=0; add_rnd_wts_scale=1; p_con=1; sym_self=1; same_seed=0; rndm_seed { name="rndm_seed"; rnd_seed=436509383; }; }; UniformRndPrjnSpec @["GPe_STN_RndPrjnSpec_1"] { name="GPe_STN_RndPrjnSpec_1"; desc=; unique{ }; children { name="children"; el_typ=UniformRndPrjnSpec; el_def=0; }; self_con=0; init_wts=1; add_rnd_wts=0; add_rnd_wts_scale=1; p_con=0.4; sym_self=1; same_seed=0; rndm_seed { name="rndm_seed"; rnd_seed=447085598; }; }; BaseSpec_Group @.gp["LeabraPrjns"] { name="LeabraPrjns"; el_typ=LeabraUnitSpec; el_def=0; FullPrjnSpec @["FullPrjnSpec_0"] { name="FullPrjnSpec_0"; desc=; unique{ }; children { name="children"; el_typ=FullPrjnSpec; el_def=0; }; self_con=1; init_wts=0; add_rnd_wts=0; add_rnd_wts_scale=1; }; OneToOnePrjnSpec @["OneToOne"] { name="OneToOne"; desc=; unique{ self_con; }; children { name="children"; el_typ=OneToOnePrjnSpec; el_def=0; }; self_con=0; init_wts=0; add_rnd_wts=0; add_rnd_wts_scale=1; n_conns=-1; recv_start=0; send_start=0; use_gp=0; }; TesselPrjnSpec @["Striatum_GPext"] { name="Striatum_GPext"; desc=; unique{ }; children { name="children"; el_typ=TesselPrjnSpec; el_def=0; TesselPrjnSpec @["PFC_Act_Deep"] { name="PFC_Act_Deep"; desc=; unique{ send_scale; }; children { name="children"; el_typ=TesselPrjnSpec; el_def=0; }; self_con=0; init_wts=0; add_rnd_wts=0; add_rnd_wts_scale=1; recv_off {x=0: y=0: }; recv_n {x=-1: y=-1: }; recv_skip {x=1: y=1: }; recv_group {x=1: y=1: }; wrap=0; send_scale {x=1: y=0: }; send_off {x=3: y=0: }; send_offs { name="send_offs"; el_typ=TessEl; el_def=0; TessEl @[0] { send_off {x=1: y=0: }; wt_val=1; }; TessEl @[1] { send_off {x=1: y=0: }; wt_val=1; }; TessEl @[2] { send_off {x=1: y=1: }; wt_val=1; }; TessEl @[3] { send_off {x=1: y=1: }; wt_val=1; }; TessEl @[4] { send_off {x=1: y=2: }; wt_val=1; }; TessEl @[5] { send_off {x=1: y=2: }; wt_val=1; }; TessEl @[6] { send_off {x=1: y=3: }; wt_val=1; }; TessEl @[7] { send_off {x=1: y=3: }; wt_val=1; }; TessEl @[8] { send_off {x=1: y=4: }; wt_val=1; }; TessEl @[9] { send_off {x=1: y=4: }; wt_val=1; }; TessEl @[10] { send_off {x=1: y=5: }; wt_val=1; }; TessEl @[11] { send_off {x=1: y=5: }; wt_val=1; }; TessEl @[12] { send_off {x=1: y=6: }; wt_val=1; }; TessEl @[13] { send_off {x=1: y=6: }; wt_val=1; }; TessEl @[14] { send_off {x=1: y=7: }; wt_val=1; }; TessEl @[15] { send_off {x=1: y=7: }; wt_val=1; }; TessEl @[16] { send_off {x=1: y=8: }; wt_val=1; }; TessEl @[17] { send_off {x=1: y=8: }; wt_val=1; }; }; last_make_cmd=; last_weights_cmd=; }; }; self_con=0; init_wts=0; add_rnd_wts=0; add_rnd_wts_scale=1; recv_off {x=0: y=0: }; recv_n {x=-1: y=-1: }; recv_skip {x=1: y=1: }; recv_group {x=1: y=1: }; wrap=0; send_scale {x=1: y=1: }; send_off {x=3: y=0: }; send_offs { name="send_offs"; el_typ=TessEl; el_def=0; TessEl @[0] { send_off {x=1: y=0: }; wt_val=1; }; TessEl @[1] { send_off {x=1: y=0: }; wt_val=1; }; TessEl @[2] { send_off {x=1: y=1: }; wt_val=1; }; TessEl @[3] { send_off {x=1: y=1: }; wt_val=1; }; TessEl @[4] { send_off {x=1: y=2: }; wt_val=1; }; TessEl @[5] { send_off {x=1: y=2: }; wt_val=1; }; TessEl @[6] { send_off {x=1: y=3: }; wt_val=1; }; TessEl @[7] { send_off {x=1: y=3: }; wt_val=1; }; TessEl @[8] { send_off {x=1: y=4: }; wt_val=1; }; TessEl @[9] { send_off {x=1: y=4: }; wt_val=1; }; TessEl @[10] { send_off {x=1: y=5: }; wt_val=1; }; TessEl @[11] { send_off {x=1: y=5: }; wt_val=1; }; TessEl @[12] { send_off {x=1: y=6: }; wt_val=1; }; TessEl @[13] { send_off {x=1: y=6: }; wt_val=1; }; TessEl @[14] { send_off {x=1: y=7: }; wt_val=1; }; TessEl @[15] { send_off {x=1: y=7: }; wt_val=1; }; TessEl @[16] { send_off {x=1: y=8: }; wt_val=1; }; TessEl @[17] { send_off {x=1: y=8: }; wt_val=1; }; }; last_make_cmd=; last_weights_cmd=; }; TesselPrjnSpec @["Striatum2_GPext2"] { name="Striatum2_GPext2"; desc=; unique{ ;;;;;;;;;;;;;;;;;; }; children { name="children"; el_typ=TesselPrjnSpec; el_def=0; TesselPrjnSpec @["PFC_Act_Deep"] { name="PFC_Act_Deep"; desc=; unique{ send_scale; }; children { name="children"; el_typ=TesselPrjnSpec; el_def=0; }; self_con=0; init_wts=0; add_rnd_wts=0; add_rnd_wts_scale=1; recv_off {x=0: y=0: }; recv_n {x=-1: y=-1: }; recv_skip {x=1: y=1: }; recv_group {x=1: y=1: }; wrap=0; send_scale {x=1: y=0: }; send_off {x=2: y=0: }; send_offs { name="send_offs"; el_typ=TessEl; el_def=0; TessEl @[0] { send_off {x=1: y=0: }; wt_val=1; }; TessEl @[1] { send_off {x=1: y=1: }; wt_val=1; }; TessEl @[2] { send_off {x=1: y=2: }; wt_val=1; }; TessEl @[3] { send_off {x=1: y=3: }; wt_val=1; }; TessEl @[4] { send_off {x=1: y=4: }; wt_val=1; }; TessEl @[5] { send_off {x=1: y=5: }; wt_val=1; }; TessEl @[6] { send_off {x=1: y=6: }; wt_val=1; }; TessEl @[7] { send_off {x=1: y=7: }; wt_val=1; }; TessEl @[8] { send_off {x=1: y=8: }; wt_val=1; }; TessEl @[9] { send_off {x=1: y=9: }; wt_val=1; }; TessEl @[10] { send_off {x=1: y=10: }; wt_val=1; }; TessEl @[11] { send_off {x=1: y=11: }; wt_val=1; }; TessEl @[12] { send_off {x=1: y=12: }; wt_val=1; }; TessEl @[13] { send_off {x=1: y=13: }; wt_val=1; }; TessEl @[14] { send_off {x=1: y=14: }; wt_val=1; }; TessEl @[15] { send_off {x=1: y=15: }; wt_val=1; }; TessEl @[16] { send_off {x=1: y=16: }; wt_val=1; }; TessEl @[17] { send_off {x=1: y=17: }; wt_val=1; }; }; last_make_cmd=; last_weights_cmd=; }; }; self_con=0; init_wts=0; add_rnd_wts=0; add_rnd_wts_scale=1; recv_off {x=0: y=0: }; recv_n {x=-1: y=-1: }; recv_skip {x=1: y=1: }; recv_group {x=1: y=1: }; wrap=0; send_scale {x=1: y=1: }; send_off {x=2: y=0: }; send_offs { name="send_offs"; el_typ=TessEl; el_def=0; TessEl @[0] { send_off {x=1: y=0: }; wt_val=1; }; TessEl @[1] { send_off {x=1: y=1: }; wt_val=1; }; TessEl @[2] { send_off {x=1: y=2: }; wt_val=1; }; TessEl @[3] { send_off {x=1: y=3: }; wt_val=1; }; TessEl @[4] { send_off {x=1: y=4: }; wt_val=1; }; TessEl @[5] { send_off {x=1: y=5: }; wt_val=1; }; TessEl @[6] { send_off {x=1: y=6: }; wt_val=1; }; TessEl @[7] { send_off {x=1: y=7: }; wt_val=1; }; TessEl @[8] { send_off {x=1: y=8: }; wt_val=1; }; TessEl @[9] { send_off {x=1: y=9: }; wt_val=1; }; TessEl @[10] { send_off {x=1: y=10: }; wt_val=1; }; TessEl @[11] { send_off {x=1: y=11: }; wt_val=1; }; TessEl @[12] { send_off {x=1: y=12: }; wt_val=1; }; TessEl @[13] { send_off {x=1: y=13: }; wt_val=1; }; TessEl @[14] { send_off {x=1: y=14: }; wt_val=1; }; TessEl @[15] { send_off {x=1: y=15: }; wt_val=1; }; TessEl @[16] { send_off {x=1: y=16: }; wt_val=1; }; TessEl @[17] { send_off {x=1: y=17: }; wt_val=1; }; }; last_make_cmd=; last_weights_cmd=; }; TesselPrjnSpec @["dMatrosomesGP"] { name="dMatrosomesGP"; desc=; unique{ }; children { name="children"; el_typ=TesselPrjnSpec; el_def=0; }; self_con=0; init_wts=0; add_rnd_wts=0; add_rnd_wts_scale=1; recv_off {x=0: y=0: }; recv_n {x=-1: y=-1: }; recv_skip {x=1: y=1: }; recv_group {x=1: y=9: }; wrap=0; send_scale {x=1: y=1: }; send_off {x=0: y=0: }; send_offs { name="send_offs"; el_typ=TessEl; el_def=0; TessEl @[0] { send_off {x=0: y=0: }; wt_val=1; }; TessEl @[1] { send_off {x=0: y=1: }; wt_val=1; }; TessEl @[2] { send_off {x=0: y=2: }; wt_val=1; }; TessEl @[3] { send_off {x=0: y=3: }; wt_val=1; }; TessEl @[4] { send_off {x=0: y=4: }; wt_val=1; }; TessEl @[5] { send_off {x=0: y=5: }; wt_val=1; }; TessEl @[6] { send_off {x=0: y=6: }; wt_val=1; }; TessEl @[7] { send_off {x=0: y=7: }; wt_val=1; }; TessEl @[8] { send_off {x=0: y=8: }; wt_val=1; }; }; last_make_cmd=; last_weights_cmd=; }; TesselPrjnSpec @["SNc_GO"] { name="SNc_GO"; desc=; unique{ }; children { name="children"; el_typ=TesselPrjnSpec; el_def=0; }; self_con=0; init_wts=0; add_rnd_wts=0; add_rnd_wts_scale=1; recv_off {x=0: y=0: }; recv_n {x=4: y=-1: }; recv_skip {x=1: y=1: }; recv_group {x=1: y=1: }; wrap=1; send_scale {x=1: y=1: }; send_off {x=0: y=0: }; send_offs { name="send_offs"; el_typ=TessEl; el_def=0; TessEl @[0] { send_off {x=0: y=0: }; wt_val=1; }; TessEl @[1] { send_off {x=1: y=0: }; wt_val=1; }; TessEl @[2] { send_off {x=0: y=1: }; wt_val=1; }; TessEl @[3] { send_off {x=1: y=1: }; wt_val=1; }; TessEl @[4] { send_off {x=0: y=2: }; wt_val=1; }; TessEl @[5] { send_off {x=1: y=2: }; wt_val=1; }; TessEl @[6] { send_off {x=0: y=3: }; wt_val=1; }; TessEl @[7] { send_off {x=1: y=3: }; wt_val=1; }; TessEl @[8] { send_off {x=0: y=4: }; wt_val=1; }; TessEl @[9] { send_off {x=1: y=4: }; wt_val=1; }; TessEl @[10] { send_off {x=0: y=5: }; wt_val=1; }; TessEl @[11] { send_off {x=1: y=5: }; wt_val=1; }; }; last_make_cmd=; last_weights_cmd=; }; TesselPrjnSpec @["SNc_GO2"] { name="SNc_GO2"; desc=; unique{ }; children { name="children"; el_typ=TesselPrjnSpec; el_def=0; }; self_con=0; init_wts=0; add_rnd_wts=0; add_rnd_wts_scale=1; recv_off {x=0: y=0: }; recv_n {x=3: y=-1: }; recv_skip {x=1: y=1: }; recv_group {x=1: y=1: }; wrap=1; send_scale {x=1: y=1: }; send_off {x=0: y=0: }; send_offs { name="send_offs"; el_typ=TessEl; el_def=0; TessEl @[0] { send_off {x=0: y=0: }; wt_val=1; }; TessEl @[1] { send_off {x=1: y=0: }; wt_val=1; }; TessEl @[2] { send_off {x=0: y=1: }; wt_val=1; }; TessEl @[3] { send_off {x=1: y=1: }; wt_val=1; }; TessEl @[4] { send_off {x=0: y=2: }; wt_val=1; }; TessEl @[5] { send_off {x=1: y=2: }; wt_val=1; }; TessEl @[6] { send_off {x=0: y=3: }; wt_val=1; }; TessEl @[7] { send_off {x=1: y=3: }; wt_val=1; }; TessEl @[8] { send_off {x=0: y=4: }; wt_val=1; }; TessEl @[9] { send_off {x=1: y=4: }; wt_val=1; }; TessEl @[10] { send_off {x=0: y=5: }; wt_val=1; }; TessEl @[11] { send_off {x=1: y=5: }; wt_val=1; }; }; last_make_cmd=; last_weights_cmd=; }; TesselPrjnSpec @["Context_TS_1"] { name="Context_TS_1"; desc=; unique{ }; children { name="children"; el_typ=TesselPrjnSpec; el_def=0; }; self_con=0; init_wts=0; add_rnd_wts=0; add_rnd_wts_scale=1; recv_off {x=0: y=0: }; recv_n {x=4: y=-1: }; recv_skip {x=1: y=1: }; recv_group {x=2: y=1: }; wrap=1; send_scale {x=1: y=1: }; send_off {x=0: y=0: }; send_offs { name="send_offs"; el_typ=TessEl; el_def=0; TessEl @[0] { send_off {x=0: y=0: }; wt_val=1; }; TessEl @[1] { send_off {x=1: y=0: }; wt_val=1; }; TessEl @[2] { send_off {x=2: y=0: }; wt_val=1; }; TessEl @[3] { send_off {x=0: y=1: }; wt_val=1; }; TessEl @[4] { send_off {x=1: y=1: }; wt_val=1; }; TessEl @[5] { send_off {x=2: y=1: }; wt_val=1; }; }; last_make_cmd="MakeRectangle(width=3, height=2, left=0, bottom=0)"; last_weights_cmd=; }; TesselPrjnSpec @["Context_TS_2"] { name="Context_TS_2"; desc=; unique{ }; children { name="children"; el_typ=TesselPrjnSpec; el_def=0; }; self_con=0; init_wts=0; add_rnd_wts=0; add_rnd_wts_scale=1; recv_off {x=1: y=0: }; recv_n {x=1: y=-1: }; recv_skip {x=1: y=1: }; recv_group {x=1: y=1: }; wrap=1; send_scale {x=3: y=1: }; send_off {x=0: y=0: }; send_offs { name="send_offs"; el_typ=TessEl; el_def=0; TessEl @[0] { send_off {x=3: y=0: }; wt_val=1; }; TessEl @[1] { send_off {x=4: y=0: }; wt_val=1; }; TessEl @[2] { send_off {x=5: y=0: }; wt_val=1; }; TessEl @[3] { send_off {x=3: y=1: }; wt_val=1; }; TessEl @[4] { send_off {x=4: y=1: }; wt_val=1; }; TessEl @[5] { send_off {x=5: y=1: }; wt_val=1; }; }; last_make_cmd="MakeRectangle(width=3, height=2, left=3, bottom=0)"; last_weights_cmd=; }; TesselPrjnSpec @["Context_TS_3"] { name="Context_TS_3"; desc=; unique{ }; children { name="children"; el_typ=TesselPrjnSpec; el_def=0; }; self_con=0; init_wts=0; add_rnd_wts=0; add_rnd_wts_scale=1; recv_off {x=2: y=0: }; recv_n {x=1: y=-1: }; recv_skip {x=1: y=1: }; recv_group {x=1: y=1: }; wrap=1; send_scale {x=3: y=1: }; send_off {x=0: y=0: }; send_offs { name="send_offs"; el_typ=TessEl; el_def=0; TessEl @[0] { send_off {x=6: y=0: }; wt_val=1; }; TessEl @[1] { send_off {x=7: y=0: }; wt_val=1; }; TessEl @[2] { send_off {x=8: y=0: }; wt_val=1; }; TessEl @[3] { send_off {x=6: y=1: }; wt_val=1; }; TessEl @[4] { send_off {x=7: y=1: }; wt_val=1; }; TessEl @[5] { send_off {x=8: y=1: }; wt_val=1; }; }; last_make_cmd="MakeRectangle(width=3, height=2, left=6, bottom=0)"; last_weights_cmd=; }; TesselPrjnSpec @["MotorCortex_Striatum"] { name="MotorCortex_Striatum"; desc=; unique{ }; children { name="children"; el_typ=TesselPrjnSpec; el_def=0; }; self_con=0; init_wts=0; add_rnd_wts=0; add_rnd_wts_scale=1; recv_off {x=0: y=0: }; recv_n {x=-1: y=-1: }; recv_skip {x=1: y=1: }; recv_group {x=1: y=9: }; wrap=1; send_scale {x=1: y=1: }; send_off {x=0: y=0: }; send_offs { name="send_offs"; el_typ=TessEl; el_def=0; TessEl @[0] { send_off {x=0: y=0: }; wt_val=1; }; TessEl @[1] { send_off {x=0: y=1: }; wt_val=1; }; }; last_make_cmd=; last_weights_cmd=; }; TesselPrjnSpec @["SNc_NOGO"] { name="SNc_NOGO"; desc=; unique{ }; children { name="children"; el_typ=TesselPrjnSpec; el_def=0; }; self_con=0; init_wts=0; add_rnd_wts=0; add_rnd_wts_scale=1; recv_off {x=4: y=0: }; recv_n {x=4: y=-1: }; recv_skip {x=1: y=1: }; recv_group {x=1: y=1: }; wrap=1; send_scale {x=1: y=1: }; send_off {x=0: y=0: }; send_offs { name="send_offs"; el_typ=TessEl; el_def=0; TessEl @[0] { send_off {x=0: y=0: }; wt_val=1; }; TessEl @[1] { send_off {x=1: y=0: }; wt_val=1; }; TessEl @[2] { send_off {x=0: y=1: }; wt_val=1; }; TessEl @[3] { send_off {x=1: y=1: }; wt_val=1; }; TessEl @[4] { send_off {x=0: y=2: }; wt_val=1; }; TessEl @[5] { send_off {x=1: y=2: }; wt_val=1; }; TessEl @[6] { send_off {x=0: y=3: }; wt_val=1; }; TessEl @[7] { send_off {x=1: y=3: }; wt_val=1; }; TessEl @[8] { send_off {x=0: y=4: }; wt_val=1; }; TessEl @[9] { send_off {x=1: y=4: }; wt_val=1; }; TessEl @[10] { send_off {x=0: y=5: }; wt_val=1; }; TessEl @[11] { send_off {x=1: y=5: }; wt_val=1; }; }; last_make_cmd=; last_weights_cmd=; }; TesselPrjnSpec @["SNc_NOGO2"] { name="SNc_NOGO2"; desc=; unique{ }; children { name="children"; el_typ=TesselPrjnSpec; el_def=0; }; self_con=0; init_wts=0; add_rnd_wts=0; add_rnd_wts_scale=1; recv_off {x=3: y=0: }; recv_n {x=3: y=-1: }; recv_skip {x=1: y=1: }; recv_group {x=1: y=1: }; wrap=1; send_scale {x=1: y=1: }; send_off {x=0: y=0: }; send_offs { name="send_offs"; el_typ=TessEl; el_def=0; TessEl @[0] { send_off {x=0: y=0: }; wt_val=1; }; TessEl @[1] { send_off {x=1: y=0: }; wt_val=1; }; TessEl @[2] { send_off {x=0: y=1: }; wt_val=1; }; TessEl @[3] { send_off {x=1: y=1: }; wt_val=1; }; TessEl @[4] { send_off {x=0: y=2: }; wt_val=1; }; TessEl @[5] { send_off {x=1: y=2: }; wt_val=1; }; TessEl @[6] { send_off {x=0: y=3: }; wt_val=1; }; TessEl @[7] { send_off {x=1: y=3: }; wt_val=1; }; TessEl @[8] { send_off {x=0: y=4: }; wt_val=1; }; TessEl @[9] { send_off {x=1: y=4: }; wt_val=1; }; TessEl @[10] { send_off {x=0: y=5: }; wt_val=1; }; TessEl @[11] { send_off {x=1: y=5: }; wt_val=1; }; }; last_make_cmd=; last_weights_cmd=; }; TesselPrjnSpec @["Striatum_GPInt"] { name="Striatum_GPInt"; desc=; unique{ }; children { name="children"; el_typ=TesselPrjnSpec; el_def=0; }; self_con=0; init_wts=0; add_rnd_wts=0; add_rnd_wts_scale=1; recv_off {x=0: y=0: }; recv_n {x=-1: y=-1: }; recv_skip {x=1: y=1: }; recv_group {x=1: y=9: }; wrap=0; send_scale {x=1: y=1: }; send_off {x=0: y=0: }; send_offs { name="send_offs"; el_typ=TessEl; el_def=0; TessEl @[0] { send_off {x=0: y=0: }; wt_val=1; }; TessEl @[1] { send_off {x=0: y=1: }; wt_val=1; }; TessEl @[2] { send_off {x=0: y=2: }; wt_val=1; }; TessEl @[3] { send_off {x=0: y=3: }; wt_val=1; }; TessEl @[4] { send_off {x=0: y=4: }; wt_val=1; }; TessEl @[5] { send_off {x=0: y=5: }; wt_val=1; }; TessEl @[6] { send_off {x=0: y=6: }; wt_val=1; }; TessEl @[7] { send_off {x=0: y=7: }; wt_val=1; }; TessEl @[8] { send_off {x=0: y=8: }; wt_val=1; }; TessEl @[9] { send_off {x=0: y=9: }; wt_val=1; }; TessEl @[10] { send_off {x=0: y=10: }; wt_val=1; }; TessEl @[11] { send_off {x=0: y=11: }; wt_val=1; }; TessEl @[12] { send_off {x=0: y=12: }; wt_val=1; }; TessEl @[13] { send_off {x=0: y=13: }; wt_val=1; }; TessEl @[14] { send_off {x=0: y=14: }; wt_val=1; }; TessEl @[15] { send_off {x=0: y=15: }; wt_val=1; }; TessEl @[16] { send_off {x=0: y=16: }; wt_val=1; }; TessEl @[17] { send_off {x=0: y=17: }; wt_val=1; }; }; last_make_cmd=; last_weights_cmd=; }; TesselPrjnSpec @["Fm_Stimuli_To_MC"] { name="Fm_Stimuli_To_MC"; desc=; unique{ }; children { name="children"; el_typ=TesselPrjnSpec; el_def=0; }; self_con=0; init_wts=0; add_rnd_wts=0; add_rnd_wts_scale=1; recv_off {x=0: y=0: }; recv_n {x=-1: y=-1: }; recv_skip {x=1: y=1: }; recv_group {x=2: y=1: }; wrap=1; send_scale {x=1: y=1: }; send_off {x=0: y=0: }; send_offs { name="send_offs"; el_typ=TessEl; el_def=0; TessEl @[0] { send_off {x=0: y=0: }; wt_val=1; }; TessEl @[1] { send_off {x=1: y=0: }; wt_val=1; }; TessEl @[2] { send_off {x=2: y=0: }; wt_val=1; }; TessEl @[3] { send_off {x=3: y=0: }; wt_val=1; }; TessEl @[4] { send_off {x=4: y=0: }; wt_val=1; }; TessEl @[5] { send_off {x=5: y=0: }; wt_val=1; }; }; last_make_cmd="MakeRectangle(width=6, height=1, left=0, bottom=0)"; last_weights_cmd=; }; TesselPrjnSpec @["MotorCortex2_NoGoStriatum2"] { name="MotorCortex2_NoGoStriatum2"; desc=; unique{ }; children { name="children"; el_typ=TesselPrjnSpec; el_def=0; }; self_con=0; init_wts=0; add_rnd_wts=0; add_rnd_wts_scale=1; recv_off {x=4: y=0: }; recv_n {x=-1: y=-1: }; recv_skip {x=1: y=1: }; recv_group {x=1: y=9: }; wrap=1; send_scale {x=1: y=1: }; send_off {x=0: y=0: }; send_offs { name="send_offs"; el_typ=TessEl; el_def=0; TessEl @[0] { send_off {x=0: y=0: }; wt_val=1; }; TessEl @[1] { send_off {x=0: y=0: }; wt_val=1; }; TessEl @[2] { send_off {x=0: y=2: }; wt_val=1; }; TessEl @[3] { send_off {x=0: y=3: }; wt_val=1; }; }; last_make_cmd=; last_weights_cmd=; }; TesselPrjnSpec @["MotorCortex_NoGoStriatum"] { name="MotorCortex_NoGoStriatum"; desc=; unique{ }; children { name="children"; el_typ=TesselPrjnSpec; el_def=0; }; self_con=0; init_wts=0; add_rnd_wts=0; add_rnd_wts_scale=1; recv_off {x=3: y=0: }; recv_n {x=-1: y=-1: }; recv_skip {x=1: y=1: }; recv_group {x=1: y=9: }; wrap=1; send_scale {x=1: y=1: }; send_off {x=0: y=0: }; send_offs { name="send_offs"; el_typ=TessEl; el_def=0; TessEl @[0] { send_off {x=0: y=0: }; wt_val=1; }; TessEl @[1] { send_off {x=0: y=0: }; wt_val=1; }; TessEl @[2] { send_off {x=0: y=2: }; wt_val=1; }; TessEl @[3] { send_off {x=0: y=3: }; wt_val=1; }; }; last_make_cmd=; last_weights_cmd=; }; TesselPrjnSpec @["Fm_Output2_To_InputHidden"] { name="Fm_Output2_To_InputHidden"; desc=; unique{ }; children { name="children"; el_typ=TesselPrjnSpec; el_def=0; }; self_con=0; init_wts=0; add_rnd_wts=0; add_rnd_wts_scale=1; recv_off {x=0: y=0: }; recv_n {x=-1: y=-1: }; recv_skip {x=1: y=1: }; recv_group {x=1: y=3: }; wrap=0; send_scale {x=1: y=1: }; send_off {x=0: y=0: }; send_offs { name="send_offs"; el_typ=TessEl; el_def=0; TessEl @[0] { send_off {x=0: y=0: }; wt_val=1; }; TessEl @[1] { send_off {x=0: y=0: }; wt_val=1; }; TessEl @[2] { send_off {x=0: y=2: }; wt_val=1; }; TessEl @[3] { send_off {x=0: y=3: }; wt_val=1; }; }; last_make_cmd=; last_weights_cmd=; }; TesselPrjnSpec @["Fm_Output2_To_InputHiddenB"] { name="Fm_Output2_To_InputHiddenB"; desc=; unique{ }; children { name="children"; el_typ=TesselPrjnSpec; el_def=0; }; self_con=0; init_wts=0; add_rnd_wts=0; add_rnd_wts_scale=1; recv_off {x=0: y=3: }; recv_n {x=-1: y=-1: }; recv_skip {x=1: y=1: }; recv_group {x=1: y=3: }; wrap=0; send_scale {x=1: y=1: }; send_off {x=0: y=-2: }; send_offs { name="send_offs"; el_typ=TessEl; el_def=0; TessEl @[0] { send_off {x=0: y=0: }; wt_val=1; }; TessEl @[1] { send_off {x=0: y=0: }; wt_val=1; }; TessEl @[2] { send_off {x=0: y=2: }; wt_val=1; }; TessEl @[3] { send_off {x=0: y=3: }; wt_val=1; }; }; last_make_cmd=; last_weights_cmd=; }; OneToOnePrjnSpec @["OneToOne_2"] { name="OneToOne_2"; desc=; unique{ self_con; }; children { name="children"; el_typ=OneToOnePrjnSpec; el_def=0; }; self_con=0; init_wts=0; add_rnd_wts=0; add_rnd_wts_scale=1; n_conns=-1; recv_start=0; send_start=0; use_gp=0; }; }; BaseSpec_Group @.gp["Connections"] { name="Connections"; el_typ=LeabraUnitSpec; el_def=0; LeabraBiasSpec @["LearnBias"] { name="LearnBias"; desc=; unique{ rnd;wt_limits;wt_scale;wt_scale_init; }; children { name="children"; el_typ=LeabraBiasSpec; el_def=0; LeabraBiasSpec @["FixedBias"] { name="FixedBias"; desc=; unique{ lrate;wt_limits;wt_scale;wt_scale_init; }; children { name="children"; el_typ=LeabraBiasSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=0: var=0: par=1: }; wt_limits {type=NONE: min=-1: max=5: sym=0: }; learn_rule=LEABRA_CHL; inhib=0; wt_scale {abs=1: rel=1: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0: err=1: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=1: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; dwt_thresh=0.1; }; }; rnd {name="rnd": type=UNIFORM: mean=0: var=0: par=1: }; wt_limits {type=NONE: min=-1: max=5: sym=0: }; learn_rule=LEABRA_CHL; inhib=0; wt_scale {abs=1: rel=1: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0.01; cur_lrate=0.01; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0: err=1: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=1: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; dwt_thresh=0.1; }; LeabraConSpec @["FixedFull"] { name="FixedFull"; desc=; unique{ rnd; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; LeabraConSpec @["InhibCons"] { name="InhibCons"; desc=; unique{ rnd;wt_limits;inhib;wt_scale; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=0.75: var=0: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=0: }; learn_rule=LEABRA_CHL; inhib=1; wt_scale {abs=0.4: rel=1.2: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.01: err=0.99: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=1: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; }; rnd {name="rnd": type=UNIFORM: mean=1: var=0: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=0: }; learn_rule=LEABRA_CHL; inhib=0; wt_scale {abs=0.3: rel=2: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.01: err=0.99: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=1: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; LeabraConSpec @["FixedFull2"] { name="FixedFull2"; desc=; unique{ rnd; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=1: var=0: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=0: }; learn_rule=LEABRA_CHL; inhib=0; wt_scale {abs=1: rel=1: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.01: err=0.99: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=1: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; LeabraConSpec @["FixedFull3"] { name="FixedFull3"; desc=; unique{ rnd; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=1: var=0: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=0: }; learn_rule=LEABRA_CHL; inhib=0; wt_scale {abs=1: rel=0.2: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.01: err=0.99: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=1: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; LeabraConSpec @["FixedHalf"] { name="FixedHalf"; desc=; unique{ rnd; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=1: var=0: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=0: }; learn_rule=LEABRA_CHL; inhib=0; wt_scale {abs=1: rel=1: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.01: err=0.99: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=1: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; LeabraConSpec @["GPeGPiInhib"] { name="GPeGPiInhib"; desc=; unique{ rnd;inhib; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; LeabraConSpec @["ThalInhib"] { name="ThalInhib"; desc=; unique{ wt_scale;wt_sig;savg_cor; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=1: var=0: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=1: }; learn_rule=LEABRA_CHL; inhib=1; wt_scale {abs=1: rel=3: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.01: err=0.99: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=0.4: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; LeabraConSpec @["ThalInhib2"] { name="ThalInhib2"; desc=; unique{ wt_scale;wt_sig;savg_cor; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=1: var=0: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=1: }; learn_rule=LEABRA_CHL; inhib=1; wt_scale {abs=0.7: rel=5: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.01: err=0.99: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=0.4: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; LeabraConSpec @["Inhib_Learn"] { name="Inhib_Learn"; desc=; unique{ rnd;wt_scale;lrate; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=0.35: var=0.1: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=1: }; learn_rule=LEABRA_CHL; inhib=1; wt_scale {abs=8: rel=2: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=1e-5; cur_lrate=1e-5; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.01: err=0.99: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=1: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; LeabraConSpec @["Inhib_Learn2"] { name="Inhib_Learn2"; desc=; unique{ rnd;wt_scale;lrate; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=0.35: var=0.1: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=1: }; learn_rule=LEABRA_CHL; inhib=1; wt_scale {abs=8: rel=2: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=1e-5; cur_lrate=1e-5; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.01: err=0.99: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=1: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; }; rnd {name="rnd": type=UNIFORM: mean=1: var=0: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=1: }; learn_rule=LEABRA_CHL; inhib=1; wt_scale {abs=1: rel=1.5: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.01: err=0.99: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=1: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; LeabraConSpec @["Fixed"] { name="Fixed"; desc=; unique{ rnd; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; LeabraConSpec @["STNcons"] { name="STNcons"; desc=; unique{ rnd;wt_scale;lrate; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=0.5: var=0.21: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=0: }; learn_rule=LEABRA_CHL; inhib=0; wt_scale {abs=1: rel=0.7: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0.001; cur_lrate=0.001; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.01: err=0.99: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=0.4: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; LeabraConSpec @["MotorCortex_Striatum"] { name="MotorCortex_Striatum"; desc=; unique{ rnd;wt_limits;wt_scale;savg_cor;lrate;lmix; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=0.5: var=0.1: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=1: }; learn_rule=LEABRA_CHL; inhib=0; wt_scale {abs=1: rel=1.5: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.1: err=0.9: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=0: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; LeabraConSpec @["MotorCortex2_Striatum2"] { name="MotorCortex2_Striatum2"; desc=; unique{ rnd;wt_limits;wt_scale;savg_cor;lrate;lmix; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=0.5: var=0.1: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=1: }; learn_rule=LEABRA_CHL; inhib=0; wt_scale {abs=0.6: rel=1.5: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=1: err=0: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=0: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; LeabraConSpec @["Motor_NoGo"] { name="Motor_NoGo"; desc="additional excitability for striatopallidal neurons (e.g, Beretta et al, 1997; Lei et al, 2004) )"; unique{ rnd;wt_limits;wt_scale;savg_cor;lmix;lrate; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=0.5: var=0.1: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=1: }; learn_rule=LEABRA_CHL; inhib=0; wt_scale {abs=0.65: rel=2: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.1: err=0.9: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=0: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; LeabraConSpec @["Motor_NoGo2"] { name="Motor_NoGo2"; desc="additional excitability for striatopallidal neurons (e.g, Beretta et al, 1997; Lei et al, 2004) )"; unique{ rnd;wt_limits;wt_scale;savg_cor;lrate;lmix; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=0.5: var=0.1: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=1: }; learn_rule=LEABRA_CHL; inhib=0; wt_scale {abs=1: rel=0.5: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=1: err=0: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=0: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; LeabraConSpec @["Input_Motor_Prepotent_"] { name="Input_Motor_Prepotent_"; desc=; unique{ rnd;wt_scale;lrate;lmix; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=0.35: var=0.005: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=0: }; learn_rule=LEABRA_CHL; inhib=0; wt_scale {abs=5: rel=1: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.1: err=0.9: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=0.4: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; LeabraConSpec @["Context_Motor_prepotent"] { name="Context_Motor_prepotent"; desc=; unique{ rnd;wt_scale;lrate;lmix; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; LeabraConSpec @["C_TS"] { name="C_TS"; desc=; unique{ wt_scale; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=0.35: var=0.005: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=0: }; learn_rule=LEABRA_CHL; inhib=0; wt_scale {abs=3: rel=0: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=1: err=0: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=0.4: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; }; rnd {name="rnd": type=UNIFORM: mean=0.35: var=0.005: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=0: }; learn_rule=LEABRA_CHL; inhib=0; wt_scale {abs=3: rel=1: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=1: err=0: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=0.4: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; LeabraConSpec @["InputStriatum"] { name="InputStriatum"; desc=; unique{ rnd;wt_scale;lrate;savg_cor;lmix; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=0.5: var=0.45: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=0: }; learn_rule=LEABRA_CHL; inhib=0; wt_scale {abs=0.6: rel=1: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0.05; cur_lrate=0.05; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.1: err=0.9: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=1: thresh=0.001: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; LeabraConSpec @["inNoGO"] { name="inNoGO"; desc=; unique{ rnd;wt_scale;lrate;lmix;savg_cor; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=0.5: var=0.45: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=0: }; learn_rule=LEABRA_CHL; inhib=0; wt_scale {abs=0.65: rel=1: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0.05; cur_lrate=0.05; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.1: err=0.9: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=1: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; LeabraConSpec @["ContextStriatum"] { name="ContextStriatum"; desc=; unique{ rnd;wt_scale;lrate;savg_cor;lmix; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=0.5: var=0.45: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=0: }; learn_rule=LEABRA_CHL; inhib=0; wt_scale {abs=0.6: rel=1.25: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.1: err=0.9: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=1: thresh=0.001: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; LeabraConSpec @["MC2_Striatum"] { name="MC2_Striatum"; desc=; unique{ rnd;wt_scale;lrate;savg_cor;lmix; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=0.5: var=0.45: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=0: }; learn_rule=LEABRA_CHL; inhib=0; wt_scale {abs=1: rel=1: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0.15; cur_lrate=0.15; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.1: err=0.9: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=1: thresh=0.001: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; LeabraConSpec @["STN_GPi"] { name="STN_GPi"; desc=; unique{ rnd;wt_scale;lrate; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=0.5: var=0.21: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=0: }; learn_rule=LEABRA_CHL; inhib=0; wt_scale {abs=1: rel=0.2: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.01: err=0.99: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=0.4: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; LeabraConSpec @["Mtr_STNcons"] { name="Mtr_STNcons"; desc=; unique{ rnd;wt_scale;lrate; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=0.65: var=0.2: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=0: }; learn_rule=LEABRA_CHL; inhib=0; wt_scale {abs=1: rel=1: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.01: err=0.99: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=0.4: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; }; rnd {name="rnd": type=UNIFORM: mean=0.1: var=0.01: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=0: }; learn_rule=LEABRA_CHL; inhib=0; wt_scale {abs=1: rel=1: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0.001; cur_lrate=0.0075; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.01: err=0.99: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=0.4: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; LeabraConSpec @["Dopamine_D1_"] { name="Dopamine_D1_"; desc=; unique{ rnd; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; LeabraConSpec @["D1_Stronger"] { name="D1_Stronger"; desc=; unique{ wt_scale; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=0.5: var=0: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=0: }; learn_rule=LEABRA_CHL; inhib=0; wt_scale {abs=0.5: rel=2: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.01: err=0.99: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=0.4: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; }; rnd {name="rnd": type=UNIFORM: mean=0.5: var=0: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=0: }; learn_rule=LEABRA_CHL; inhib=0; wt_scale {abs=0.6: rel=0.5: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.01: err=0.99: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=0.4: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; LeabraConSpec @["SNc_Inhib_D2_"] { name="SNc_Inhib_D2_"; desc=; unique{ rnd;inhib; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; LeabraConSpec @["D2_Stronger"] { name="D2_Stronger"; desc=; unique{ wt_scale; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=1: var=0: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=0: }; learn_rule=LEABRA_CHL; inhib=1; wt_scale {abs=0.5: rel=1.5: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.01: err=0.99: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=0.4: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; }; rnd {name="rnd": type=UNIFORM: mean=1: var=0: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=0: }; learn_rule=LEABRA_CHL; inhib=1; wt_scale {abs=0.15: rel=1: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.01: err=0.99: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=0.4: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; LeabraConSpec @["Inhib"] { name="Inhib"; desc=; unique{ rnd;inhib; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=0.7: var=0: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=1: }; learn_rule=LEABRA_CHL; inhib=1; wt_scale {abs=0.1: rel=1: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.01: err=0.99: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=1: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; LeabraConSpec @["NoGo_inhib_Go"] { name="NoGo_inhib_Go"; desc=; unique{ rnd;wt_limits;inhib;wt_scale; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=0.75: var=0: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=0: }; learn_rule=LEABRA_CHL; inhib=1; wt_scale {abs=0.6: rel=1.5: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.01: err=0.99: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=1: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; LeabraConSpec @["InhibInhib"] { name="InhibInhib"; desc=; unique{ rnd;wt_limits;inhib;wt_scale; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=0.8: var=0: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=0: }; learn_rule=LEABRA_CHL; inhib=1; wt_scale {abs=0.25: rel=1.5: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.01: err=0.99: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=1: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; LeabraConSpec @["FBtoInhib"] { name="FBtoInhib"; desc=; unique{ wt_limits;rnd;lrate;wt_scale; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; LeabraConSpec @["FFtoInhib"] { name="FFtoInhib"; desc=; unique{ wt_scale;rnd; }; children { name="children"; el_typ=LeabraConSpec; el_def=0; }; rnd {name="rnd": type=UNIFORM: mean=0.35: var=0.25: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=0: }; learn_rule=LEABRA_CHL; inhib=0; wt_scale {abs=0.6: rel=1: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.01: err=0.99: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=1: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; }; rnd {name="rnd": type=UNIFORM: mean=0.25: var=0.1: par=1: }; wt_limits {type=MIN_MAX: min=0: max=1: sym=0: }; learn_rule=LEABRA_CHL; inhib=0; wt_scale {abs=0.85: rel=2: sem_extra=2: }; diff_scale_p=0; wt_scale_p {abs=1: rel=1: sem_extra=2: }; wt_scale_init {init=0: abs=1: rel=1: }; learn=1; lrate=0; cur_lrate=0; lrs_value=EPOCH; lrate_sched { name="lrate_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=0; }; ignore_unlearnable=1; wt_sig {gain=6: off=1.25: dwt_norm=0: }; stable_mix {stable_pct=0: learn_pct=1: cos_diff_lrate=0: }; lmix {hebb=0.01: err=0.99: err_sb=1: }; xcal {l_mix=L_MIX: thr_l_mix=0.01: thr_m_mix=0.99: s_mix=0.9: m_mix=0.1: d_rev=0.1: d_thr=0.0001: d_rev_ratio=-9: }; savg_cor {cor=1: thresh=0.01: }; rel_net_adapt { on=0; trg_fm_input=0.85; trg_fm_output=0.15; trg_lateral=0; trg_sum=1; tol_lg=0.05; tol_sm=0.2; rel_lrate=0.1; }; }; }; BaseSpec_Group @.gp["Units"] { name="Units"; el_typ=LeabraUnitSpec; el_def=0; LeabraUnitSpec @["FixedBiasUnitSpec"] { name="FixedBiasUnitSpec"; desc=; unique{ opt_thresh; }; children { name="children"; el_typ=LeabraUnitSpec; el_def=0; LeabraUnitSpec @["GPExt_UnitSpec"] { name="GPExt_UnitSpec"; desc=; unique{ bias_spec;act;opt_thresh;v_m_init;dt;g_bar;e_rev; }; children { name="children"; el_typ=LeabraUnitSpec; el_def=0; }; act_range {min=0: max=1: range=1: scale=1: }; bias_con_type=LeabraCon; bias_spec {type=LeabraBiasSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["LearnBias"].children["FixedBias"]$$: }; sse_tol=0.5; act_fun=NOISY_XX1; act {gelin=0: thr=0.25: gain=600: nvar=0.005: avg_dt=0.005: avg_init=0.15: rescale_ctxt=1: i_thr=STD: }; act_lrn_on=0; act_lrn {gelin=1: thr=0.5: gain=100: nvar=0.005: }; spike {rise=0: decay=0.05: g_gain=5: window=3: eq_gain=10: eq_dt=0.02: }; spike_misc { exp_slope=0.02; spk_thr=1.2; clamp_max_p=0.11; clamp_type=REGULAR; vm_r=0; t_r=6; vm_dend=0.3; vm_dend_dt=0.16; vm_dend_time=6.25; }; opt_thresh {send=0.1: delta=0.005: phase_dif=0: xcal_lrn=0.01: }; maxda {val=INET_DA: inet_scale=1: lay_avg_thr=0.01: }; clamp_range {min=0: max=0.95: range=0.95: scale=1.052632: }; vm_range {min=0: max=1: range=1: scale=1: }; v_m_init {name="v_m_init": type=UNIFORM: mean=0.26: var=0: par=1: }; act_init {name="act_init": type=NONE: mean=0: var=0: par=1: }; dt {integ=1: vm=0.1: net=0.7: midpoint=0: d_vm_max=0.025: vm_eq_cyc=0: vm_eq_dt=1: integ_time=1: vm_time=10: net_time=1.428571: }; act_avg {l_up_add=0: l_dn_pct=0: l_up_dt=0.6: l_dn_dt=0.05: m_dt=0.1: s_dt=0.2: ss_dt=1: use_nd=0: l_time=20: m_time=10: s_time=5: ss_time=0.9999999: }; g_bar {e=1: l=1: i=1.5: h=0.1: a=0.5: }; e_rev {e=1: l=0.26: i=0.15: h=1: a=0: }; hyst {on=0: b_inc_dt=0.05: b_dec_dt=0.05: a_thr=0.8: d_thr=0.7: g_dt=0.1: init=1: trl=0: }; acc {on=0: b_inc_dt=0.01: b_dec_dt=0.01: a_thr=0.5: d_thr=0.1: g_dt=0.1: init=0: trl=0: }; adapt { on=0; dt=0.007; vm_gain=0.1; spike_gain=0.01; interval=10; trials=0; dt_time=142.8571; }; depress {phase_act=ACT_ND: on=0: rec=0.2: asymp_act=0.5: depl=0.2105263: delay=0: interval=1: trials=0: max_amp=2: }; syn_delay { on=0; delay=4; }; cifer {on=0: phase_updt=0: super_gain=0.05: thal_5b_thr=0.5: act_5b_thr=0.5: binary5b=0: ti_5b=0.5: bg_lrate=1: fg_lrate=0: }; da_mod {on=0: mod=PLUS_CONT: gain=0.1: }; noise_type=NO_NOISE; noise {name="noise": type=GAUSSIAN: mean=0: var=0.001: par=1: }; noise_adapt {trial_fixed=1: k_pos_noise=0: mode=FIXED_NOISE: min_pct=0.5: min_pct_c=0.5: }; noise_sched { name="noise_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=1; }; }; LeabraUnitSpec @["OutputUnitSpec"] { name="OutputUnitSpec"; desc=; unique{ bias_spec;act;opt_thresh;g_bar;dt; }; children { name="children"; el_typ=LeabraUnitSpec; el_def=0; }; act_range {min=0: max=1: range=1: scale=1: }; bias_con_type=LeabraCon; bias_spec {type=LeabraBiasSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["LearnBias"].children["FixedBias"]$: }; sse_tol=0.5; act_fun=NOISY_XX1; act {gelin=0: thr=0.2: gain=20: nvar=0.005: avg_dt=0.005: avg_init=0.15: rescale_ctxt=1: i_thr=STD: }; act_lrn_on=0; act_lrn {gelin=1: thr=0.5: gain=100: nvar=0.005: }; spike {rise=0: decay=0.05: g_gain=5: window=3: eq_gain=10: eq_dt=0.02: }; spike_misc { exp_slope=0.02; spk_thr=1.2; clamp_max_p=0.11; clamp_type=REGULAR; vm_r=0; t_r=6; vm_dend=0.3; vm_dend_dt=0.16; vm_dend_time=6.25; }; opt_thresh {send=0.1: delta=0.005: phase_dif=0: xcal_lrn=0.01: }; maxda {val=INET_DA: inet_scale=1: lay_avg_thr=0.01: }; clamp_range {min=0: max=0.95: range=0.95: scale=1.052632: }; vm_range {min=0: max=1: range=1: scale=1: }; v_m_init {name="v_m_init": type=UNIFORM: mean=0.15: var=0: par=1: }; act_init {name="act_init": type=NONE: mean=0: var=0: par=1: }; dt {integ=1: vm=0.03: net=0.1: midpoint=0: d_vm_max=0.025: vm_eq_cyc=0: vm_eq_dt=1: integ_time=1: vm_time=33.33334: net_time=10: }; act_avg {l_up_add=0: l_dn_pct=0: l_up_dt=0.6: l_dn_dt=0.05: m_dt=0.1: s_dt=0.2: ss_dt=1: use_nd=0: l_time=20: m_time=10: s_time=5: ss_time=0.9999999: }; g_bar {e=1: l=1: i=1: h=0.1: a=0.5: }; e_rev {e=1: l=0.15: i=0.15: h=1: a=0: }; hyst {on=0: b_inc_dt=0.05: b_dec_dt=0.05: a_thr=0.8: d_thr=0.7: g_dt=0.1: init=1: trl=0: }; acc {on=0: b_inc_dt=0.01: b_dec_dt=0.01: a_thr=0.5: d_thr=0.1: g_dt=0.1: init=0: trl=0: }; adapt { on=0; dt=0.007; vm_gain=0.1; spike_gain=0.01; interval=10; trials=0; dt_time=142.8571; }; depress {phase_act=ACT_ND: on=0: rec=0.2: asymp_act=0.5: depl=0.2105263: delay=0: interval=1: trials=0: max_amp=2: }; syn_delay { on=0; delay=4; }; cifer {on=0: phase_updt=0: super_gain=0.05: thal_5b_thr=0.5: act_5b_thr=0.5: binary5b=0: ti_5b=0.5: bg_lrate=1: fg_lrate=0: }; da_mod {on=0: mod=PLUS_CONT: gain=0.1: }; noise_type=NO_NOISE; noise {name="noise": type=GAUSSIAN: mean=0: var=0.001: par=1: }; noise_adapt {trial_fixed=1: k_pos_noise=0: mode=FIXED_NOISE: min_pct=0.5: min_pct_c=0.5: }; noise_sched { name="noise_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=1; }; }; LeabraUnitSpec @["Output3UnitSpec"] { name="Output3UnitSpec"; desc=; unique{ bias_spec;act;opt_thresh;g_bar;dt; }; children { name="children"; el_typ=LeabraUnitSpec; el_def=0; }; act_range {min=0: max=1: range=1: scale=1: }; bias_con_type=LeabraCon; bias_spec {type=LeabraBiasSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["LearnBias"].children["FixedBias"]$: }; sse_tol=0.5; act_fun=NOISY_XX1; act {gelin=0: thr=0.2: gain=100: nvar=0.005: avg_dt=0.005: avg_init=0.15: rescale_ctxt=1: i_thr=STD: }; act_lrn_on=0; act_lrn {gelin=1: thr=0.5: gain=100: nvar=0.005: }; spike {rise=0: decay=0.05: g_gain=5: window=3: eq_gain=10: eq_dt=0.02: }; spike_misc { exp_slope=0.02; spk_thr=1.2; clamp_max_p=0.11; clamp_type=REGULAR; vm_r=0; t_r=6; vm_dend=0.3; vm_dend_dt=0.16; vm_dend_time=6.25; }; opt_thresh {send=0.1: delta=0.005: phase_dif=0: xcal_lrn=0.01: }; maxda {val=INET_DA: inet_scale=1: lay_avg_thr=0.01: }; clamp_range {min=0: max=0.95: range=0.95: scale=1.052632: }; vm_range {min=0: max=1: range=1: scale=1: }; v_m_init {name="v_m_init": type=UNIFORM: mean=0.15: var=0: par=1: }; act_init {name="act_init": type=NONE: mean=0: var=0: par=1: }; dt {integ=1: vm=0.03: net=0.1: midpoint=0: d_vm_max=0.025: vm_eq_cyc=0: vm_eq_dt=1: integ_time=1: vm_time=33.33334: net_time=10: }; act_avg {l_up_add=0: l_dn_pct=0: l_up_dt=0.6: l_dn_dt=0.05: m_dt=0.1: s_dt=0.2: ss_dt=1: use_nd=0: l_time=20: m_time=10: s_time=5: ss_time=0.9999999: }; g_bar {e=1: l=1: i=1: h=0.1: a=0.5: }; e_rev {e=1: l=0.15: i=0.15: h=1: a=0: }; hyst {on=0: b_inc_dt=0.05: b_dec_dt=0.05: a_thr=0.8: d_thr=0.7: g_dt=0.1: init=1: trl=0: }; acc {on=0: b_inc_dt=0.01: b_dec_dt=0.01: a_thr=0.5: d_thr=0.1: g_dt=0.1: init=0: trl=0: }; adapt { on=0; dt=0.007; vm_gain=0.1; spike_gain=0.01; interval=10; trials=0; dt_time=142.8571; }; depress {phase_act=ACT_ND: on=0: rec=0.2: asymp_act=0.5: depl=0.2105263: delay=0: interval=1: trials=0: max_amp=2: }; syn_delay { on=0; delay=4; }; cifer {on=0: phase_updt=0: super_gain=0.05: thal_5b_thr=0.5: act_5b_thr=0.5: binary5b=0: ti_5b=0.5: bg_lrate=1: fg_lrate=0: }; da_mod {on=0: mod=PLUS_CONT: gain=0.1: }; noise_type=NO_NOISE; noise {name="noise": type=GAUSSIAN: mean=0: var=0.001: par=1: }; noise_adapt {trial_fixed=1: k_pos_noise=0: mode=FIXED_NOISE: min_pct=0.5: min_pct_c=0.5: }; noise_sched { name="noise_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=1; }; }; LeabraUnitSpec @["Thalamus"] { name="Thalamus"; desc=; unique{ bias_spec;opt_thresh;dt;g_bar; }; children { name="children"; el_typ=LeabraUnitSpec; el_def=0; }; act_range {min=0: max=1: range=1: scale=1: }; bias_con_type=LeabraCon; bias_spec {type=LeabraBiasSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["LearnBias"].children["FixedBias"]$: }; sse_tol=0.5; act_fun=NOISY_XX1; act {gelin=0: thr=0.25: gain=600: nvar=0.005: avg_dt=0.005: avg_init=0.15: rescale_ctxt=1: i_thr=STD: }; act_lrn_on=0; act_lrn {gelin=1: thr=0.5: gain=100: nvar=0.005: }; spike {rise=0: decay=0.05: g_gain=5: window=3: eq_gain=10: eq_dt=0.02: }; spike_misc { exp_slope=0.02; spk_thr=1.2; clamp_max_p=0.11; clamp_type=REGULAR; vm_r=0; t_r=6; vm_dend=0.3; vm_dend_dt=0.16; vm_dend_time=6.25; }; opt_thresh {send=0.1: delta=0.005: phase_dif=0: xcal_lrn=0.01: }; maxda {val=INET_DA: inet_scale=1: lay_avg_thr=0.01: }; clamp_range {min=0: max=0.95: range=0.95: scale=1.052632: }; vm_range {min=0: max=1: range=1: scale=1: }; v_m_init {name="v_m_init": type=UNIFORM: mean=0.15: var=0: par=1: }; act_init {name="act_init": type=NONE: mean=0: var=0: par=1: }; dt {integ=1: vm=0.05: net=0.4: midpoint=0: d_vm_max=0.025: vm_eq_cyc=0: vm_eq_dt=1: integ_time=1: vm_time=20: net_time=2.5: }; act_avg {l_up_add=0: l_dn_pct=0: l_up_dt=0.6: l_dn_dt=0.05: m_dt=0.1: s_dt=0.2: ss_dt=1: use_nd=0: l_time=20: m_time=10: s_time=5: ss_time=0.9999999: }; g_bar {e=0.5: l=0.07: i=1.7: h=0.2: a=0.5: }; e_rev {e=1: l=0.15: i=0.15: h=1: a=0: }; hyst {on=0: b_inc_dt=0.05: b_dec_dt=0.05: a_thr=0.8: d_thr=0.7: g_dt=0.1: init=1: trl=0: }; acc {on=0: b_inc_dt=0.01: b_dec_dt=0.01: a_thr=0.5: d_thr=0.1: g_dt=0.1: init=0: trl=0: }; adapt { on=0; dt=0.007; vm_gain=0.1; spike_gain=0.01; interval=10; trials=0; dt_time=142.8571; }; depress {phase_act=ACT_ND: on=0: rec=0.2: asymp_act=0.5: depl=0.2105263: delay=0: interval=1: trials=0: max_amp=2: }; syn_delay { on=0; delay=4; }; cifer {on=0: phase_updt=0: super_gain=0.05: thal_5b_thr=0.5: act_5b_thr=0.5: binary5b=0: ti_5b=0.5: bg_lrate=1: fg_lrate=0: }; da_mod {on=0: mod=PLUS_CONT: gain=0.1: }; noise_type=NO_NOISE; noise {name="noise": type=GAUSSIAN: mean=0: var=0.001: par=1: }; noise_adapt {trial_fixed=1: k_pos_noise=0: mode=FIXED_NOISE: min_pct=0.5: min_pct_c=0.5: }; noise_sched { name="noise_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=1; }; }; LeabraUnitSpec @["LearnBiasUnitSpec"] { name="LearnBiasUnitSpec"; desc=; unique{ bias_spec;dt; }; children { name="children"; el_typ=LeabraUnitSpec; el_def=0; LeabraUnitSpec @["matrisom_unitspec"] { name="matrisom_unitspec"; desc=; unique{ bias_spec;act;opt_thresh;g_bar;act_reg;noise_type;noise;dt;noise_adapt; }; children { name="children"; el_typ=LeabraUnitSpec; el_def=0; }; act_range {min=0: max=1: range=1: scale=1: }; bias_con_type=LeabraCon; bias_spec {type=LeabraBiasSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["LearnBias"].children["FixedBias"]$: }; sse_tol=0.5; act_fun=NOISY_XX1; act {gelin=0: thr=0.26: gain=600: nvar=0.005: avg_dt=0.005: avg_init=0.15: rescale_ctxt=1: i_thr=STD: }; act_lrn_on=0; act_lrn {gelin=1: thr=0.5: gain=100: nvar=0.005: }; spike {rise=0: decay=0.05: g_gain=5: window=3: eq_gain=10: eq_dt=0.02: }; spike_misc { exp_slope=0.02; spk_thr=1.2; clamp_max_p=0.11; clamp_type=REGULAR; vm_r=0; t_r=6; vm_dend=0.3; vm_dend_dt=0.16; vm_dend_time=6.25; }; opt_thresh {send=0.1: delta=0.005: phase_dif=0: xcal_lrn=0.01: }; maxda {val=INET_DA: inet_scale=1: lay_avg_thr=0.01: }; clamp_range {min=0: max=0.95: range=0.95: scale=1.052632: }; vm_range {min=0: max=1: range=1: scale=1: }; v_m_init {name="v_m_init": type=UNIFORM: mean=0.15: var=0: par=1: }; act_init {name="act_init": type=NONE: mean=0: var=0: par=1: }; dt {integ=1: vm=0.023: net=0.7: midpoint=0: d_vm_max=0.025: vm_eq_cyc=0: vm_eq_dt=1: integ_time=1: vm_time=43.47826: net_time=1.428571: }; act_avg {l_up_add=0: l_dn_pct=0: l_up_dt=0.6: l_dn_dt=0.05: m_dt=0.1: s_dt=0.2: ss_dt=1: use_nd=0: l_time=20: m_time=10: s_time=5: ss_time=0.9999999: }; g_bar {e=1: l=0.35: i=7.5: h=1.2: a=0.5: }; e_rev {e=1: l=0.15: i=0.15: h=1: a=0: }; hyst {on=0: b_inc_dt=0.05: b_dec_dt=0.05: a_thr=0.8: d_thr=0.7: g_dt=0.1: init=1: trl=0: }; acc {on=0: b_inc_dt=0.01: b_dec_dt=0.01: a_thr=0.5: d_thr=0.1: g_dt=0.1: init=0: trl=0: }; adapt { on=0; dt=0.007; vm_gain=0.1; spike_gain=0.01; interval=10; trials=0; dt_time=142.8571; }; depress {phase_act=ACT_ND: on=0: rec=0.2: asymp_act=0.5: depl=0.2105263: delay=0: interval=1: trials=0: max_amp=2: }; syn_delay { on=0; delay=4; }; cifer {on=0: phase_updt=0: super_gain=0.05: thal_5b_thr=0.5: act_5b_thr=0.5: binary5b=0: ti_5b=0.5: bg_lrate=1: fg_lrate=0: }; da_mod {on=0: mod=PLUS_CONT: gain=0.1: }; noise_type=VM_NOISE; noise {name="noise": type=GAUSSIAN: mean=0.001: var=0: par=1: }; noise_adapt {trial_fixed=1: k_pos_noise=0: mode=FIXED_NOISE: min_pct=0.5: min_pct_c=0.5: }; noise_sched { name="noise_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=1; }; }; LeabraUnitSpec @["Motor_Cortex_unitspec"] { name="Motor_Cortex_unitspec"; desc=; unique{ bias_spec;opt_thresh;dt;acc;noise_type;noise;noise_sched;noise_adapt; }; children { name="children"; el_typ=LeabraUnitSpec; el_def=0; }; act_range {min=0: max=1: range=1: scale=1: }; bias_con_type=LeabraCon; bias_spec {type=LeabraBiasSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["LearnBias"].children["FixedBias"]$: }; sse_tol=0.5; act_fun=NOISY_XX1; act {gelin=0: thr=0.25: gain=600: nvar=0.005: avg_dt=0.005: avg_init=0.15: rescale_ctxt=1: i_thr=STD: }; act_lrn_on=0; act_lrn {gelin=1: thr=0.5: gain=100: nvar=0.005: }; spike {rise=0: decay=0.05: g_gain=5: window=3: eq_gain=10: eq_dt=0.02: }; spike_misc { exp_slope=0.02; spk_thr=1.2; clamp_max_p=0.11; clamp_type=REGULAR; vm_r=0; t_r=6; vm_dend=0.3; vm_dend_dt=0.16; vm_dend_time=6.25; }; opt_thresh {send=0.1: delta=0.005: phase_dif=0: xcal_lrn=0.01: }; maxda {val=INET_DA: inet_scale=1: lay_avg_thr=0.01: }; clamp_range {min=0: max=0.95: range=0.95: scale=1.052632: }; vm_range {min=0: max=1: range=1: scale=1: }; v_m_init {name="v_m_init": type=UNIFORM: mean=0.15: var=0: par=1: }; act_init {name="act_init": type=NONE: mean=0: var=0: par=1: }; dt {integ=1: vm=0.05: net=0.7: midpoint=0: d_vm_max=0.025: vm_eq_cyc=0: vm_eq_dt=1: integ_time=1: vm_time=20: net_time=1.428571: }; act_avg {l_up_add=0: l_dn_pct=0: l_up_dt=0.6: l_dn_dt=0.05: m_dt=0.1: s_dt=0.2: ss_dt=1: use_nd=0: l_time=20: m_time=10: s_time=5: ss_time=0.9999999: }; g_bar {e=1: l=0.1: i=1: h=1.2: a=0.5: }; e_rev {e=1: l=0.15: i=0.15: h=1: a=0: }; hyst {on=0: b_inc_dt=0.05: b_dec_dt=0.05: a_thr=0.8: d_thr=0.7: g_dt=0.1: init=1: trl=0: }; acc {on=0: b_inc_dt=0.005: b_dec_dt=0.01: a_thr=0.25: d_thr=0.1: g_dt=0.1: init=1: trl=0: }; adapt { on=0; dt=0.007; vm_gain=0.1; spike_gain=0.01; interval=10; trials=0; dt_time=142.8571; }; depress {phase_act=ACT_ND: on=0: rec=0.2: asymp_act=0.5: depl=0.2105263: delay=0: interval=1: trials=0: max_amp=2: }; syn_delay { on=0; delay=4; }; cifer {on=0: phase_updt=0: super_gain=0.05: thal_5b_thr=0.5: act_5b_thr=0.5: binary5b=0: ti_5b=0.5: bg_lrate=1: fg_lrate=0: }; da_mod {on=0: mod=PLUS_CONT: gain=0.1: }; noise_type=VM_NOISE; noise {name="noise": type=GAUSSIAN: mean=0.0005: var=0.001: par=1: }; noise_adapt {trial_fixed=0: k_pos_noise=0: mode=FIXED_NOISE: min_pct=0.5: min_pct_c=0.5: }; noise_sched { name="noise_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=1; SchedItem @[0] { start_ctr=0; start_val=1; duration=25; step=0; }; SchedItem @[1] { start_ctr=25; start_val=1; duration=55; step=-0.009090909; }; SchedItem @[2] { start_ctr=80; start_val=0.5; duration=20; step=-0.015; }; SchedItem @[3] { start_ctr=100; start_val=0.2; duration=1; step=1; }; }; }; LeabraUnitSpec @["Motor_Cortex_1_unitspec"] { name="Motor_Cortex_1_unitspec"; desc=; unique{ bias_spec;opt_thresh;dt;acc;noise_type;noise;noise_sched;noise_adapt; }; children { name="children"; el_typ=LeabraUnitSpec; el_def=0; }; act_range {min=0: max=1: range=1: scale=1: }; bias_con_type=LeabraCon; bias_spec {type=LeabraBiasSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["LearnBias"].children["FixedBias"]$: }; sse_tol=0.5; act_fun=NOISY_XX1; act {gelin=0: thr=0.25: gain=600: nvar=0.005: avg_dt=0.005: avg_init=0.15: rescale_ctxt=1: i_thr=STD: }; act_lrn_on=0; act_lrn {gelin=1: thr=0.5: gain=100: nvar=0.005: }; spike {rise=0: decay=0.05: g_gain=5: window=3: eq_gain=10: eq_dt=0.02: }; spike_misc { exp_slope=0.02; spk_thr=1.2; clamp_max_p=0.11; clamp_type=REGULAR; vm_r=0; t_r=6; vm_dend=0.3; vm_dend_dt=0.16; vm_dend_time=6.25; }; opt_thresh {send=0.1: delta=0.005: phase_dif=0: xcal_lrn=0.01: }; maxda {val=INET_DA: inet_scale=1: lay_avg_thr=0.01: }; clamp_range {min=0: max=0.95: range=0.95: scale=1.052632: }; vm_range {min=0: max=1: range=1: scale=1: }; v_m_init {name="v_m_init": type=UNIFORM: mean=0.15: var=0: par=1: }; act_init {name="act_init": type=NONE: mean=0: var=0: par=1: }; dt {integ=1: vm=0.1: net=0.7: midpoint=0: d_vm_max=0.025: vm_eq_cyc=0: vm_eq_dt=1: integ_time=1: vm_time=10: net_time=1.428571: }; act_avg {l_up_add=0: l_dn_pct=0: l_up_dt=0.6: l_dn_dt=0.05: m_dt=0.1: s_dt=0.2: ss_dt=1: use_nd=0: l_time=20: m_time=10: s_time=5: ss_time=0.9999999: }; g_bar {e=1: l=0.1: i=1: h=1.2: a=0.5: }; e_rev {e=1: l=0.15: i=0.15: h=1: a=0: }; hyst {on=0: b_inc_dt=0.05: b_dec_dt=0.05: a_thr=0.8: d_thr=0.7: g_dt=0.1: init=1: trl=0: }; acc {on=0: b_inc_dt=0.005: b_dec_dt=0.01: a_thr=0.25: d_thr=0.1: g_dt=0.1: init=1: trl=0: }; adapt { on=0; dt=0.007; vm_gain=0.1; spike_gain=0.01; interval=10; trials=0; dt_time=142.8571; }; depress {phase_act=ACT_ND: on=0: rec=0.2: asymp_act=0.5: depl=0.2105263: delay=0: interval=1: trials=0: max_amp=2: }; syn_delay { on=0; delay=4; }; cifer {on=0: phase_updt=0: super_gain=0.05: thal_5b_thr=0.5: act_5b_thr=0.5: binary5b=0: ti_5b=0.5: bg_lrate=1: fg_lrate=0: }; da_mod {on=0: mod=PLUS_CONT: gain=0.1: }; noise_type=VM_NOISE; noise {name="noise": type=GAUSSIAN: mean=0: var=0.001: par=1: }; noise_adapt {trial_fixed=0: k_pos_noise=0: mode=FIXED_NOISE: min_pct=0.5: min_pct_c=0.5: }; noise_sched { name="noise_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=1; SchedItem @[0] { start_ctr=0; start_val=1; duration=85; step=0; }; SchedItem @[1] { start_ctr=85; start_val=1; duration=55; step=-0.009090909; }; SchedItem @[2] { start_ctr=140; start_val=0.5; duration=20; step=-0.015; }; SchedItem @[3] { start_ctr=160; start_val=0.2; duration=1; step=1; }; }; }; LeabraUnitSpec @["STN_unitspec"] { name="STN_unitspec"; desc=; unique{ bias_spec;act;opt_thresh;v_m_init;dt;g_bar;e_rev;noise_type;noise;acc; }; children { name="children"; el_typ=LeabraUnitSpec; el_def=0; }; act_range {min=0: max=1: range=1: scale=1: }; bias_con_type=LeabraCon; bias_spec {type=LeabraBiasSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["LearnBias"].children["FixedBias"]$: }; sse_tol=0.5; act_fun=NOISY_XX1; act {gelin=0: thr=0.25: gain=600: nvar=0.005: avg_dt=0.005: avg_init=0.15: rescale_ctxt=1: i_thr=STD: }; act_lrn_on=0; act_lrn {gelin=1: thr=0.5: gain=100: nvar=0.005: }; spike {rise=0: decay=0.05: g_gain=5: window=3: eq_gain=10: eq_dt=0.02: }; spike_misc { exp_slope=0.02; spk_thr=1.2; clamp_max_p=0.11; clamp_type=REGULAR; vm_r=0; t_r=6; vm_dend=0.3; vm_dend_dt=0.16; vm_dend_time=6.25; }; opt_thresh {send=0.1: delta=0.005: phase_dif=0: xcal_lrn=0.01: }; maxda {val=INET_DA: inet_scale=1: lay_avg_thr=0.01: }; clamp_range {min=0: max=0.95: range=0.95: scale=1.052632: }; vm_range {min=0: max=1: range=1: scale=1: }; v_m_init {name="v_m_init": type=UNIFORM: mean=0.25: var=0: par=1: }; act_init {name="act_init": type=NONE: mean=0: var=0: par=1: }; dt {integ=1: vm=0.045: net=0.17: midpoint=0: d_vm_max=0.025: vm_eq_cyc=0: vm_eq_dt=1: integ_time=1: vm_time=22.22222: net_time=5.882353: }; act_avg {l_up_add=0: l_dn_pct=0: l_up_dt=0.6: l_dn_dt=0.05: m_dt=0.1: s_dt=0.2: ss_dt=1: use_nd=0: l_time=20: m_time=10: s_time=5: ss_time=0.9999999: }; g_bar {e=1: l=1: i=1: h=0.1: a=3.5: }; e_rev {e=1: l=0.2: i=0.15: h=1: a=0: }; hyst {on=0: b_inc_dt=0.05: b_dec_dt=0.05: a_thr=0.8: d_thr=0.7: g_dt=0.1: init=1: trl=0: }; acc {on=1: b_inc_dt=0.01: b_dec_dt=0.01: a_thr=0.5: d_thr=0.1: g_dt=0.1: init=0: trl=0: }; adapt { on=0; dt=0.007; vm_gain=0.1; spike_gain=0.01; interval=10; trials=0; dt_time=142.8571; }; depress {phase_act=ACT_ND: on=0: rec=0.2: asymp_act=0.5: depl=0.2105263: delay=0: interval=1: trials=0: max_amp=2: }; syn_delay { on=0; delay=4; }; cifer {on=0: phase_updt=0: super_gain=0.05: thal_5b_thr=0.5: act_5b_thr=0.5: binary5b=0: ti_5b=0.5: bg_lrate=1: fg_lrate=0: }; da_mod {on=0: mod=PLUS_CONT: gain=0.1: }; noise_type=NO_NOISE; noise {name="noise": type=GAUSSIAN: mean=0.0015: var=0.001: par=1: }; noise_adapt {trial_fixed=1: k_pos_noise=0: mode=FIXED_NOISE: min_pct=0.5: min_pct_c=0.5: }; noise_sched { name="noise_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=1; }; }; }; act_range {min=0: max=1: range=1: scale=1: }; bias_con_type=LeabraCon; bias_spec {type=LeabraBiasSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["LearnBias"]$$: }; sse_tol=0.5; act_fun=NOISY_XX1; act {gelin=0: thr=0.25: gain=600: nvar=0.005: avg_dt=0.005: avg_init=0.15: rescale_ctxt=1: i_thr=STD: }; act_lrn_on=0; act_lrn {gelin=1: thr=0.5: gain=100: nvar=0.005: }; spike {rise=0: decay=0.05: g_gain=5: window=3: eq_gain=10: eq_dt=0.02: }; spike_misc { exp_slope=0.02; spk_thr=1.2; clamp_max_p=0.11; clamp_type=REGULAR; vm_r=0; t_r=6; vm_dend=0.3; vm_dend_dt=0.16; vm_dend_time=6.25; }; opt_thresh {send=0.1: delta=0.005: phase_dif=0: xcal_lrn=0.01: }; maxda {val=INET_DA: inet_scale=1: lay_avg_thr=0.01: }; clamp_range {min=0: max=0.95: range=0.95: scale=1.052632: }; vm_range {min=0: max=1: range=1: scale=1: }; v_m_init {name="v_m_init": type=UNIFORM: mean=0.15: var=0: par=1: }; act_init {name="act_init": type=NONE: mean=0: var=0: par=1: }; dt {integ=1: vm=0.1: net=0.7: midpoint=0: d_vm_max=0.025: vm_eq_cyc=0: vm_eq_dt=1: integ_time=1: vm_time=10: net_time=1.428571: }; act_avg {l_up_add=0: l_dn_pct=0: l_up_dt=0.6: l_dn_dt=0.05: m_dt=0.1: s_dt=0.2: ss_dt=1: use_nd=0: l_time=20: m_time=10: s_time=5: ss_time=0.9999999: }; g_bar {e=1: l=0.1: i=1: h=1.2: a=0.5: }; e_rev {e=1: l=0.15: i=0.15: h=1: a=0: }; hyst {on=0: b_inc_dt=0.05: b_dec_dt=0.05: a_thr=0.8: d_thr=0.7: g_dt=0.1: init=1: trl=0: }; acc {on=0: b_inc_dt=0.01: b_dec_dt=0.01: a_thr=0.5: d_thr=0.1: g_dt=0.1: init=0: trl=0: }; adapt { on=0; dt=0.007; vm_gain=0.1; spike_gain=0.01; interval=10; trials=0; dt_time=142.8571; }; depress {phase_act=ACT_ND: on=0: rec=0.2: asymp_act=0.5: depl=0.2105263: delay=0: interval=1: trials=0: max_amp=2: }; syn_delay { on=0; delay=4; }; cifer {on=0: phase_updt=0: super_gain=0.05: thal_5b_thr=0.5: act_5b_thr=0.5: binary5b=0: ti_5b=0.5: bg_lrate=1: fg_lrate=0: }; da_mod {on=0: mod=PLUS_CONT: gain=0.1: }; noise_type=NO_NOISE; noise {name="noise": type=GAUSSIAN: mean=0: var=0.001: par=1: }; noise_adapt {trial_fixed=1: k_pos_noise=0: mode=FIXED_NOISE: min_pct=0.5: min_pct_c=0.5: }; noise_sched { name="noise_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=1; }; }; LeabraUnitSpec @["GPInt_UnitSpec"] { name="GPInt_UnitSpec"; desc=; unique{ bias_spec;opt_thresh;v_m_init;dt;g_bar;e_rev; }; children { name="children"; el_typ=LeabraUnitSpec; el_def=0; }; act_range {min=0: max=1: range=1: scale=1: }; bias_con_type=LeabraCon; bias_spec {type=LeabraBiasSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["LearnBias"].children["FixedBias"]$: }; sse_tol=0.5; act_fun=NOISY_XX1; act {gelin=0: thr=0.25: gain=600: nvar=0.005: avg_dt=0.005: avg_init=0.15: rescale_ctxt=1: i_thr=STD: }; act_lrn_on=0; act_lrn {gelin=1: thr=0.5: gain=100: nvar=0.005: }; spike {rise=0: decay=0.05: g_gain=5: window=3: eq_gain=10: eq_dt=0.02: }; spike_misc { exp_slope=0.02; spk_thr=1.2; clamp_max_p=0.11; clamp_type=REGULAR; vm_r=0; t_r=6; vm_dend=0.3; vm_dend_dt=0.16; vm_dend_time=6.25; }; opt_thresh {send=0.1: delta=0.005: phase_dif=0: xcal_lrn=0.01: }; maxda {val=INET_DA: inet_scale=1: lay_avg_thr=0.01: }; clamp_range {min=0: max=0.95: range=0.95: scale=1.052632: }; vm_range {min=0: max=1: range=1: scale=1: }; v_m_init {name="v_m_init": type=UNIFORM: mean=0.26: var=0: par=1: }; act_init {name="act_init": type=NONE: mean=0: var=0: par=1: }; dt {integ=1: vm=0.2: net=0.7: midpoint=0: d_vm_max=0.025: vm_eq_cyc=0: vm_eq_dt=1: integ_time=1: vm_time=5: net_time=1.428571: }; act_avg {l_up_add=0: l_dn_pct=0: l_up_dt=0.6: l_dn_dt=0.05: m_dt=0.1: s_dt=0.2: ss_dt=1: use_nd=0: l_time=20: m_time=10: s_time=5: ss_time=0.9999999: }; g_bar {e=1: l=3: i=1: h=0.1: a=0.5: }; e_rev {e=1: l=0.27: i=0.15: h=1: a=0: }; hyst {on=0: b_inc_dt=0.05: b_dec_dt=0.05: a_thr=0.8: d_thr=0.7: g_dt=0.1: init=1: trl=0: }; acc {on=0: b_inc_dt=0.01: b_dec_dt=0.01: a_thr=0.5: d_thr=0.1: g_dt=0.1: init=0: trl=0: }; adapt { on=0; dt=0.007; vm_gain=0.1; spike_gain=0.01; interval=10; trials=0; dt_time=142.8571; }; depress {phase_act=ACT_ND: on=0: rec=0.2: asymp_act=0.5: depl=0.2105263: delay=0: interval=1: trials=0: max_amp=2: }; syn_delay { on=0; delay=4; }; cifer {on=0: phase_updt=0: super_gain=0.05: thal_5b_thr=0.5: act_5b_thr=0.5: binary5b=0: ti_5b=0.5: bg_lrate=1: fg_lrate=0: }; da_mod {on=0: mod=PLUS_CONT: gain=0.1: }; noise_type=NO_NOISE; noise {name="noise": type=GAUSSIAN: mean=0: var=0.001: par=1: }; noise_adapt {trial_fixed=1: k_pos_noise=0: mode=FIXED_NOISE: min_pct=0.5: min_pct_c=0.5: }; noise_sched { name="noise_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=1; }; }; LeabraUnitSpec @["InhibUnits"] { name="InhibUnits"; desc=; unique{ dt;g_bar; }; children { name="children"; el_typ=LeabraUnitSpec; el_def=0; }; act_range {min=0: max=1: range=1: scale=1: }; bias_con_type=LeabraCon; bias_spec {type=LeabraBiasSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["LearnBias"].children["FixedBias"]$: }; sse_tol=0.5; act_fun=NOISY_XX1; act {gelin=0: thr=0.25: gain=600: nvar=0.005: avg_dt=0.005: avg_init=0.15: rescale_ctxt=1: i_thr=STD: }; act_lrn_on=0; act_lrn {gelin=1: thr=0.5: gain=100: nvar=0.005: }; spike {rise=0: decay=0.05: g_gain=5: window=3: eq_gain=10: eq_dt=0.02: }; spike_misc { exp_slope=0.02; spk_thr=1.2; clamp_max_p=0.11; clamp_type=REGULAR; vm_r=0; t_r=6; vm_dend=0.3; vm_dend_dt=0.16; vm_dend_time=6.25; }; opt_thresh {send=0.1: delta=0.005: phase_dif=0: xcal_lrn=0.01: }; maxda {val=INET_DA: inet_scale=1: lay_avg_thr=0.01: }; clamp_range {min=0: max=0.95: range=0.95: scale=1.052632: }; vm_range {min=0: max=1: range=1: scale=1: }; v_m_init {name="v_m_init": type=UNIFORM: mean=0.15: var=0: par=1: }; act_init {name="act_init": type=NONE: mean=0: var=0: par=1: }; dt {integ=1: vm=0.125: net=0.7: midpoint=0: d_vm_max=0.025: vm_eq_cyc=0: vm_eq_dt=1: integ_time=1: vm_time=8: net_time=1.428571: }; act_avg {l_up_add=0: l_dn_pct=0: l_up_dt=0.6: l_dn_dt=0.05: m_dt=0.1: s_dt=0.2: ss_dt=1: use_nd=0: l_time=20: m_time=10: s_time=5: ss_time=0.9999999: }; g_bar {e=1: l=0.1: i=4: h=1.2: a=0.5: }; e_rev {e=1: l=0.15: i=0.15: h=1: a=0: }; hyst {on=0: b_inc_dt=0.05: b_dec_dt=0.05: a_thr=0.8: d_thr=0.7: g_dt=0.1: init=1: trl=0: }; acc {on=0: b_inc_dt=0.01: b_dec_dt=0.01: a_thr=0.5: d_thr=0.1: g_dt=0.1: init=0: trl=0: }; adapt { on=0; dt=0.007; vm_gain=0.1; spike_gain=0.01; interval=10; trials=0; dt_time=142.8571; }; depress {phase_act=ACT_ND: on=0: rec=0.2: asymp_act=0.5: depl=0.2105263: delay=0: interval=1: trials=0: max_amp=2: }; syn_delay { on=0; delay=4; }; cifer {on=0: phase_updt=0: super_gain=0.05: thal_5b_thr=0.5: act_5b_thr=0.5: binary5b=0: ti_5b=0.5: bg_lrate=1: fg_lrate=0: }; da_mod {on=0: mod=PLUS_CONT: gain=0.1: }; noise_type=NO_NOISE; noise {name="noise": type=GAUSSIAN: mean=0: var=0.001: par=1: }; noise_adapt {trial_fixed=1: k_pos_noise=0: mode=FIXED_NOISE: min_pct=0.5: min_pct_c=0.5: }; noise_sched { name="noise_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=1; }; }; }; act_range {min=0: max=1: range=1: scale=1: }; bias_con_type=LeabraCon; bias_spec {type=LeabraBiasSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["LearnBias"].children["FixedBias"]$: }; sse_tol=0.5; act_fun=NOISY_XX1; act {gelin=0: thr=0.25: gain=600: nvar=0.005: avg_dt=0.005: avg_init=0.15: rescale_ctxt=1: i_thr=STD: }; act_lrn_on=0; act_lrn {gelin=1: thr=0.5: gain=100: nvar=0.005: }; spike {rise=0: decay=0.05: g_gain=5: window=3: eq_gain=10: eq_dt=0.02: }; spike_misc { exp_slope=0.02; spk_thr=1.2; clamp_max_p=0.11; clamp_type=REGULAR; vm_r=0; t_r=6; vm_dend=0.3; vm_dend_dt=0.16; vm_dend_time=6.25; }; opt_thresh {send=0.1: delta=0.005: phase_dif=0: xcal_lrn=0.01: }; maxda {val=INET_DA: inet_scale=1: lay_avg_thr=0.01: }; clamp_range {min=0: max=0.95: range=0.95: scale=1.052632: }; vm_range {min=0: max=1: range=1: scale=1: }; v_m_init {name="v_m_init": type=UNIFORM: mean=0.15: var=0: par=1: }; act_init {name="act_init": type=NONE: mean=0: var=0: par=1: }; dt {integ=1: vm=0.1: net=0.7: midpoint=0: d_vm_max=0.025: vm_eq_cyc=0: vm_eq_dt=1: integ_time=1: vm_time=10: net_time=1.428571: }; act_avg {l_up_add=0: l_dn_pct=0: l_up_dt=0.6: l_dn_dt=0.05: m_dt=0.1: s_dt=0.2: ss_dt=1: use_nd=0: l_time=20: m_time=10: s_time=5: ss_time=0.9999999: }; g_bar {e=1: l=0.1: i=1: h=1.2: a=0.5: }; e_rev {e=1: l=0.15: i=0.15: h=1: a=0: }; hyst {on=0: b_inc_dt=0.05: b_dec_dt=0.05: a_thr=0.8: d_thr=0.7: g_dt=0.1: init=1: trl=0: }; acc {on=0: b_inc_dt=0.01: b_dec_dt=0.01: a_thr=0.5: d_thr=0.1: g_dt=0.1: init=0: trl=0: }; adapt { on=0; dt=0.007; vm_gain=0.1; spike_gain=0.01; interval=10; trials=0; dt_time=142.8571; }; depress {phase_act=ACT_ND: on=0: rec=0.2: asymp_act=0.5: depl=0.2105263: delay=0: interval=1: trials=0: max_amp=2: }; syn_delay { on=0; delay=4; }; cifer {on=0: phase_updt=0: super_gain=0.05: thal_5b_thr=0.5: act_5b_thr=0.5: binary5b=0: ti_5b=0.5: bg_lrate=1: fg_lrate=0: }; da_mod {on=0: mod=PLUS_CONT: gain=0.1: }; noise_type=NO_NOISE; noise {name="noise": type=GAUSSIAN: mean=0: var=0.001: par=1: }; noise_adapt {trial_fixed=1: k_pos_noise=0: mode=FIXED_NOISE: min_pct=0.5: min_pct_c=0.5: }; noise_sched { name="noise_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=1; }; }; LeabraUnitSpec @["SNc_UnitSpec"] { name="SNc_UnitSpec"; desc=; unique{ opt_thresh; }; children { name="children"; el_typ=LeabraUnitSpec; el_def=0; }; act_range {min=0: max=1: range=1: scale=1: }; bias_con_type=LeabraCon; bias_spec {type=LeabraBiasSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["LearnBias"].children["FixedBias"]$: }; sse_tol=0.5; act_fun=NOISY_XX1; act {gelin=0: thr=0.25: gain=100: nvar=0.005: avg_dt=0.005: avg_init=0.15: rescale_ctxt=1: i_thr=STD: }; act_lrn_on=0; act_lrn {gelin=1: thr=0.5: gain=100: nvar=0.005: }; spike {rise=0: decay=0.05: g_gain=5: window=3: eq_gain=10: eq_dt=0.02: }; spike_misc { exp_slope=0.02; spk_thr=1.2; clamp_max_p=0.11; clamp_type=REGULAR; vm_r=0; t_r=6; vm_dend=0.3; vm_dend_dt=0.16; vm_dend_time=6.25; }; opt_thresh {send=0.1: delta=0.005: phase_dif=0: xcal_lrn=0.01: }; maxda {val=INET_DA: inet_scale=1: lay_avg_thr=0.01: }; clamp_range {min=0: max=0.95: range=0.95: scale=1.052632: }; vm_range {min=0: max=1: range=1: scale=1: }; v_m_init {name="v_m_init": type=UNIFORM: mean=0.15: var=0: par=1: }; act_init {name="act_init": type=NONE: mean=0: var=0: par=1: }; dt {integ=1: vm=0.95: net=1: midpoint=0: d_vm_max=0.025: vm_eq_cyc=0: vm_eq_dt=1: integ_time=1: vm_time=1.052632: net_time=1: }; act_avg {l_up_add=0: l_dn_pct=0: l_up_dt=0.6: l_dn_dt=0.05: m_dt=0.1: s_dt=0.2: ss_dt=1: use_nd=0: l_time=20: m_time=10: s_time=5: ss_time=0.9999999: }; g_bar {e=1: l=0.1: i=1: h=1.2: a=0.5: }; e_rev {e=1: l=0.15: i=0.15: h=1: a=0: }; hyst {on=0: b_inc_dt=0.05: b_dec_dt=0.05: a_thr=0.8: d_thr=0.7: g_dt=0.1: init=1: trl=0: }; acc {on=0: b_inc_dt=0.01: b_dec_dt=0.01: a_thr=0.5: d_thr=0.1: g_dt=0.1: init=0: trl=0: }; adapt { on=0; dt=0.007; vm_gain=0.1; spike_gain=0.01; interval=10; trials=0; dt_time=142.8571; }; depress {phase_act=ACT_ND: on=0: rec=0.2: asymp_act=0.5: depl=0.2105263: delay=0: interval=1: trials=0: max_amp=2: }; syn_delay { on=0; delay=4; }; cifer {on=0: phase_updt=0: super_gain=0.05: thal_5b_thr=0.5: act_5b_thr=0.5: binary5b=0: ti_5b=0.5: bg_lrate=1: fg_lrate=0: }; da_mod {on=0: mod=PLUS_CONT: gain=0.1: }; noise_type=NETIN_NOISE; noise {name="noise": type=GAUSSIAN: mean=0.0015: var=0.0015: par=1: }; noise_adapt {trial_fixed=0: k_pos_noise=0: mode=FIXED_NOISE: min_pct=0.5: min_pct_c=0.5: }; noise_sched { name="noise_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=1; }; }; LeabraUnitSpec @["SNc_UnitSpec_slower"] { name="SNc_UnitSpec_slower"; desc=; unique{ opt_thresh; }; children { name="children"; el_typ=LeabraUnitSpec; el_def=0; }; act_range {min=0: max=1: range=1: scale=1: }; bias_con_type=LeabraCon; bias_spec {type=LeabraBiasSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["LearnBias"].children["FixedBias"]$: }; sse_tol=0.5; act_fun=NOISY_XX1; act {gelin=0: thr=0.25: gain=100: nvar=0.005: avg_dt=0.005: avg_init=0.15: rescale_ctxt=1: i_thr=STD: }; act_lrn_on=0; act_lrn {gelin=1: thr=0.5: gain=100: nvar=0.005: }; spike {rise=0: decay=0.05: g_gain=5: window=3: eq_gain=10: eq_dt=0.02: }; spike_misc { exp_slope=0.02; spk_thr=1.2; clamp_max_p=0.11; clamp_type=REGULAR; vm_r=0; t_r=6; vm_dend=0.3; vm_dend_dt=0.16; vm_dend_time=6.25; }; opt_thresh {send=0.1: delta=0.005: phase_dif=0: xcal_lrn=0.01: }; maxda {val=INET_DA: inet_scale=1: lay_avg_thr=0.01: }; clamp_range {min=0: max=0.95: range=0.95: scale=1.052632: }; vm_range {min=0: max=1: range=1: scale=1: }; v_m_init {name="v_m_init": type=UNIFORM: mean=0.15: var=0: par=1: }; act_init {name="act_init": type=NONE: mean=0: var=0: par=1: }; dt {integ=1: vm=0.95: net=0.03: midpoint=0: d_vm_max=0.025: vm_eq_cyc=0: vm_eq_dt=1: integ_time=1: vm_time=1.052632: net_time=33.33334: }; act_avg {l_up_add=0: l_dn_pct=0: l_up_dt=0.6: l_dn_dt=0.05: m_dt=0.1: s_dt=0.2: ss_dt=1: use_nd=0: l_time=20: m_time=10: s_time=5: ss_time=0.9999999: }; g_bar {e=1: l=0.1: i=1: h=1.2: a=0.5: }; e_rev {e=1: l=0.15: i=0.15: h=1: a=0: }; hyst {on=0: b_inc_dt=0.05: b_dec_dt=0.05: a_thr=0.8: d_thr=0.7: g_dt=0.1: init=1: trl=0: }; acc {on=0: b_inc_dt=0.01: b_dec_dt=0.01: a_thr=0.5: d_thr=0.1: g_dt=0.1: init=0: trl=0: }; adapt { on=0; dt=0.007; vm_gain=0.1; spike_gain=0.01; interval=10; trials=0; dt_time=142.8571; }; depress {phase_act=ACT_ND: on=0: rec=0.2: asymp_act=0.5: depl=0.2105263: delay=0: interval=1: trials=0: max_amp=2: }; syn_delay { on=0; delay=4; }; cifer {on=0: phase_updt=0: super_gain=0.05: thal_5b_thr=0.5: act_5b_thr=0.5: binary5b=0: ti_5b=0.5: bg_lrate=1: fg_lrate=0: }; da_mod {on=0: mod=PLUS_CONT: gain=0.1: }; noise_type=NETIN_NOISE; noise {name="noise": type=GAUSSIAN: mean=0.0015: var=0.0005: par=1: }; noise_adapt {trial_fixed=0: k_pos_noise=0: mode=FIXED_NOISE: min_pct=0.5: min_pct_c=0.5: }; noise_sched { name="noise_sched"; el_typ=SchedItem; el_def=0; default_val=1; interpolate=1; }; }; }; BaseSpec_Group @.gp["LayerSpecs"] { name="LayerSpecs"; el_typ=LeabraUnitSpec; el_def=0; LeabraLayerSpec @["LayerSpec_0"] { name="LayerSpec_0"; desc=; unique{ kwta; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; LeabraLayerSpec @["Matrisome_layerspec"] { name="Matrisome_layerspec"; desc=; unique{ kwta;gp_kwta;inhib_group;compute_i;i_kwta_pt;clamp;inhib; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; }; inhib_group=ENTIRE_LAYER; inhib { type=UNIT_INHIB; kwta_pt=0.5; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=3: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_K: k=2: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=1: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=1: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; LeabraLayerSpec @["GP_layerspec"] { name="GP_layerspec"; desc=; unique{ kwta;compute_i;i_kwta_pt;clamp;inhib; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; }; inhib_group=ENTIRE_LAYER; inhib { type=UNIT_INHIB; kwta_pt=0.5; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=1: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_PCT: k=12: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=0: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=1: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; LeabraLayerSpec @["Thalamus_layerspec"] { name="Thalamus_layerspec"; desc=; unique{ kwta;compute_i;decay;inhib; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; }; inhib_group=ENTIRE_LAYER; inhib { type=UNIT_INHIB; kwta_pt=0.6; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=1: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_PCT: k=12: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=1: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=1: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; LeabraLayerSpec @["Output_layerspec"] { name="Output_layerspec"; desc=; unique{ kwta;inhib_group;compute_i;i_kwta_pt;inhib; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; }; inhib_group=ENTIRE_LAYER; inhib { type=KWTA_INHIB; kwta_pt=0; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=1: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_PCT: k=12: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=1: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=1: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; LeabraLayerSpec @["PFC_Out_layerspec"] { name="PFC_Out_layerspec"; desc=; unique{ kwta;gp_kwta;inhib_group;compute_i;i_kwta_pt;inhib; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; }; inhib_group=LAY_AND_GPS; inhib { type=KWTA_AVG_INHIB; kwta_pt=0.5; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=2: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_K: k=1: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=1: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=1: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; LeabraLayerSpec @["InputLayer"] { name="InputLayer"; desc=; unique{ kwta;compute_i;i_kwta_pt;clamp;inhib; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; }; inhib_group=ENTIRE_LAYER; inhib { type=KWTA_INHIB; kwta_pt=0.25; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=6: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_PCT: k=12: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=1: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=1: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; LeabraLayerSpec @["SNc_layerspec"] { name="SNc_layerspec"; desc=; unique{ kwta;compute_i;clamp;inhib;decay; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; }; inhib_group=ENTIRE_LAYER; inhib { type=UNIT_INHIB; kwta_pt=0.6; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=1: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_PCT: k=12: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=0: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=1: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; LeabraLayerSpec @["MCLayerSpec"] { name="MCLayerSpec"; desc=; unique{ decay; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; }; inhib_group=ENTIRE_LAYER; inhib { type=KWTA_AVG_INHIB; kwta_pt=0.6; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=1: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_PCT: k=12: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=1: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=1: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; LeabraLayerSpec @["MC2LayerSpec"] { name="MC2LayerSpec"; desc=; unique{ decay; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; }; inhib_group=ENTIRE_LAYER; inhib { type=KWTA_AVG_INHIB; kwta_pt=0.6; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=1: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_PCT: k=12: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=1: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=0: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; }; inhib_group=ENTIRE_LAYER; inhib { type=KWTA_AVG_INHIB; kwta_pt=0.6; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=1: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_PCT: k=12: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=1: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=1: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; LeabraLayerSpec @["inhidden"] { name="inhidden"; desc=; unique{ kwta; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; LeabraLayerSpec @["Matrisome_layerspec"] { name="Matrisome_layerspec"; desc=; unique{ kwta;gp_kwta;inhib_group;compute_i;i_kwta_pt;clamp;decay;inhib; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; }; inhib_group=ENTIRE_LAYER; inhib { type=KWTA_INHIB; kwta_pt=0.5; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=3: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_K: k=2: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=1: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=1: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; LeabraLayerSpec @["GP_layerspec"] { name="GP_layerspec"; desc=; unique{ kwta;compute_i;i_kwta_pt;clamp;inhib; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; }; inhib_group=ENTIRE_LAYER; inhib { type=UNIT_INHIB; kwta_pt=0.5; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=1: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_PCT: k=12: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=0: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=1: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; LeabraLayerSpec @["Thalamus_layerspec"] { name="Thalamus_layerspec"; desc=; unique{ kwta;compute_i;decay;inhib; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; }; inhib_group=ENTIRE_LAYER; inhib { type=UNIT_INHIB; kwta_pt=0.6; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=1: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_PCT: k=12: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=1: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=1: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; LeabraLayerSpec @["Output_layerspec"] { name="Output_layerspec"; desc=; unique{ kwta;inhib_group;compute_i;i_kwta_pt;inhib; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; }; inhib_group=ENTIRE_LAYER; inhib { type=KWTA_INHIB; kwta_pt=0; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=1: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_PCT: k=12: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=1: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=1: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; LeabraLayerSpec @["PFC_Out_layerspec"] { name="PFC_Out_layerspec"; desc=; unique{ kwta;gp_kwta;inhib_group;compute_i;i_kwta_pt;inhib; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; }; inhib_group=LAY_AND_GPS; inhib { type=KWTA_AVG_INHIB; kwta_pt=0.5; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=2: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_K: k=1: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=1: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=1: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; LeabraLayerSpec @["InputLayer"] { name="InputLayer"; desc=; unique{ kwta;compute_i;i_kwta_pt;clamp;inhib; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; }; inhib_group=ENTIRE_LAYER; inhib { type=KWTA_INHIB; kwta_pt=0.25; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=1: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_PCT: k=12: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=1: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=1: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; LeabraLayerSpec @["SNc_layerspec"] { name="SNc_layerspec"; desc=; unique{ kwta;compute_i;clamp;inhib;decay; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; }; inhib_group=ENTIRE_LAYER; inhib { type=UNIT_INHIB; kwta_pt=0.6; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=1: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_PCT: k=12: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=0: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=1: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; }; inhib_group=ENTIRE_LAYER; inhib { type=KWTA_AVG_INHIB; kwta_pt=0.6; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=3: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_PCT: k=12: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=1: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=1: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; LeabraLayerSpec @["LayerSpec_1"] { name="LayerSpec_1"; desc=; unique{ kwta; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; LeabraLayerSpec @["Matrisome_layerspec"] { name="Matrisome_layerspec"; desc=; unique{ kwta;gp_kwta;inhib_group;compute_i;i_kwta_pt;clamp;decay;inhib; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; }; inhib_group=ENTIRE_LAYER; inhib { type=KWTA_INHIB; kwta_pt=0.5; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=3: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_K: k=2: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=1: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=1: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; LeabraLayerSpec @["GP_layerspec"] { name="GP_layerspec"; desc=; unique{ kwta;compute_i;i_kwta_pt;clamp;inhib; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; }; inhib_group=ENTIRE_LAYER; inhib { type=UNIT_INHIB; kwta_pt=0.5; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=1: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_PCT: k=12: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=0: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=1: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; LeabraLayerSpec @["Thalamus_layerspec"] { name="Thalamus_layerspec"; desc=; unique{ kwta;compute_i;decay;inhib; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; }; inhib_group=ENTIRE_LAYER; inhib { type=UNIT_INHIB; kwta_pt=0.6; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=1: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_PCT: k=12: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=1: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=1: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; LeabraLayerSpec @["Output_layerspec"] { name="Output_layerspec"; desc=; unique{ kwta;inhib_group;compute_i;i_kwta_pt;inhib; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; }; inhib_group=ENTIRE_LAYER; inhib { type=KWTA_INHIB; kwta_pt=0; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=1: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_PCT: k=12: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=1: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=1: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; LeabraLayerSpec @["PFC_Out_layerspec"] { name="PFC_Out_layerspec"; desc=; unique{ kwta;gp_kwta;inhib_group;compute_i;i_kwta_pt;inhib; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; }; inhib_group=LAY_AND_GPS; inhib { type=KWTA_AVG_INHIB; kwta_pt=0.5; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=2: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_K: k=1: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=1: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=1: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; LeabraLayerSpec @["InputLayer"] { name="InputLayer"; desc=; unique{ kwta;compute_i;i_kwta_pt;clamp;inhib; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; }; inhib_group=ENTIRE_LAYER; inhib { type=KWTA_INHIB; kwta_pt=0.25; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=1: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_PCT: k=12: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=1: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=1: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; LeabraLayerSpec @["SNc_layerspec"] { name="SNc_layerspec"; desc=; unique{ kwta;compute_i;clamp;inhib;decay; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; }; inhib_group=ENTIRE_LAYER; inhib { type=UNIT_INHIB; kwta_pt=0.6; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=1: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_PCT: k=12: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=0: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=1: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; }; inhib_group=ENTIRE_LAYER; inhib { type=KWTA_AVG_INHIB; kwta_pt=0.6; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=1: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_PCT: k=12: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=1: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=1: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; LeabraLayerSpec @["NoDecayLayers"] { name="NoDecayLayers"; desc=; unique{ }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; LeabraLayerSpec @["STN"] { name="STN"; desc=; unique{ kwta;gp_kwta;inhib_group;compute_i;i_kwta_pt;inhib;decay; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; }; inhib_group=ENTIRE_LAYER; inhib { type=UNIT_INHIB; kwta_pt=0.5; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=2: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_K: k=1: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=1: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=1: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; LeabraLayerSpec @["PFCIn_layerspec"] { name="PFCIn_layerspec"; desc=; unique{ kwta;gp_kwta;inhib_group;compute_i;i_kwta_pt;inhib;decay; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; }; inhib_group=LAY_AND_GPS; inhib { type=KWTA_AVG_INHIB; kwta_pt=0.6; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=5: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_K: k=1: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=1: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=1: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; }; inhib_group=ENTIRE_LAYER; inhib { type=KWTA_INHIB; kwta_pt=0.25; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_K: k=1: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_PCT: k=12: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=1: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=0: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.05: p_dt=0: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; LeabraLayerSpec @["InhibLayers"] { name="InhibLayers"; desc=; unique{ kwta;inhib;decay; }; children { name="children"; el_typ=LeabraLayerSpec; el_def=0; }; inhib_group=ENTIRE_LAYER; inhib { type=UNIT_INHIB; kwta_pt=0.6; gi=2; ff=1; fb=0.5; self_fb=0; prv_trl_ff=0; prv_phs_ff=0; dt=0.7; up_immed=0; ff0=0.1; min_i=0; }; kwta {k_from=USE_PCT: k=3: pct=0.34: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; gp_kwta {k_from=USE_PCT: k=12: pct=0.25: pat_q=0.5: avg_dt=0.005: diff_act_pct=0: act_pct=0.1: }; lay_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; unit_gp_inhib {on=0: fffb=0: lay_gi=2: gp_g=0.5: self_g=1: diff_act_pct=0: act_pct_mult=0.3333333: pct_fm_frac=1: act_denom=3: }; clamp {hard=1: gain=0.5: max_plus=0: plus=0.01: min_clamp=0.5: minus_targ_gain=0: }; decay {event=0: phase=0: phase2=0: }; cos_diff_lrate {on=1: lo_diff=0: lo_lrate=0.01: hi_diff=1: hi_lrate=0.01: avg_dt=0.01: }; ct_inhib_mod { manual_sravg=0; sravg_delay=0; use_sin=0; burst_i=0.02; trough_i=0.02; use_fin=0; inhib_i=0; }; tie_brk {on=0: k_thr=1: diff_thr=0.2: thr_gain=0.005: loser_gain=1: }; adapt_i {type=NONE: tol=0.02: p_dt=0.1: mx_d=0.2: l=0.2: a_dt=0.005: }; abs_net_adapt { on=0; trg_net=0.5; tol=0.1; abs_lrate=0.1; }; }; }; }; layers { name="layers"; el_typ=LeabraLayer; el_def=0; pos {x=0: y=0: z=0: }; pos2d {x=0: y=0: }; max_disp_size {x=40: y=9: z=3: }; max_disp_size2d {x=10: y=43: }; LeabraLayer @["Input"] { name="Input"; desc=; flags=0; layer_type=INPUT; pos {x=2: y=4: z=2: }; pos2d {x=0: y=0: }; disp_scale=1; un_geom {x=6: y=3: n_not_xy=0: n=18: }; unit_groups=0; virt_groups=1; gp_geom {x=1: y=1: n_not_xy=0: n=1: }; gp_spc {x=0: y=0: }; flat_geom {x=6: y=3: n_not_xy=0: n=18: }; disp_geom {x=6: y=3: n_not_xy=0: n=18: }; scaled_disp_geom {x=6: y=3: n_not_xy=0: n=1: }; projections { name="projections"; el_typ=LeabraPrjn; el_def=0; }; send_prjns { name="send_prjns"; el_typ=LeabraPrjn; el_def=0; Projection_Group @. = [0] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers.gp["Striatum"]["Go"].projections["Fm_Input"]; Projection_Group @. = [1] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers.gp["Striatum"]["NoGo"].projections["Fm_Input"]; Projection_Group @. = [2] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers.gp["Striatum"]["Striatum_Inhib"].projections["Fm_Input"]; Projection_Group @. = [3] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["PMC"].projections["Fm_Input"]; }; units { name="units"; el_typ=LeabraUnit; el_def=0; pos {x=0: y=0: z=0: }; output_name=; }; unit_spec {type=LeabraUnitSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Units"]["FixedBiasUnitSpec"]$$: }; dmem_dist=DMEM_DIST_DEFAULT; dist { fm_input=-1; fm_output=-1; }; units_lesioned=0; gp_unit_names_4d=0; brain_area=; voxel_fill_pct=1; spec {type=LeabraLayerSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LayerSpecs"]["LayerSpec_0"].children["InputLayer"]$$: }; }; LeabraLayer @["GP_Int"] { name="GP_Int"; desc=; flags=0; layer_type=HIDDEN; pos {x=28: y=0: z=0: }; pos2d {x=0: y=3: }; disp_scale=1; un_geom {x=4: y=2: n_not_xy=0: n=8: }; unit_groups=0; virt_groups=1; gp_geom {x=1: y=1: n_not_xy=0: n=1: }; gp_spc {x=0: y=0: }; flat_geom {x=4: y=2: n_not_xy=0: n=8: }; disp_geom {x=4: y=2: n_not_xy=0: n=8: }; scaled_disp_geom {x=4: y=2: n_not_xy=0: n=1: }; projections { name="projections"; el_typ=LeabraPrjn; el_def=0; LeabraPrjn @["Fm_Go"] { name="Fm_Go"; off=0; disp=1; from_type=CUSTOM; from=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers.gp["Striatum"]["Go"]$$; spec {type=TesselPrjnSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["Striatum_GPInt"]$$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["GPeGPiInhib"].children["Inhib_Learn"]$$: }; recv_idx=0; send_idx=1; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; LeabraPrjn @["Fm_GP_Ext"] { name="Fm_GP_Ext"; off=0; disp=1; from_type=CUSTOM; from=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["GP_Ext"]$$; spec {type=TesselPrjnSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["Striatum_GPInt"]$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["GPeGPiInhib"]$$: }; recv_idx=1; send_idx=0; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; }; send_prjns { name="send_prjns"; el_typ=LeabraPrjn; el_def=0; Projection_Group @. = [0] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["Thalamus"].projections["Fm_GP_Int"]; }; units { name="units"; el_typ=LeabraUnit; el_def=0; pos {x=0: y=0: z=0: }; output_name=; }; unit_spec {type=LeabraUnitSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Units"]["FixedBiasUnitSpec"].children["GPInt_UnitSpec"]$$: }; dmem_dist=DMEM_DIST_DEFAULT; dist { fm_input=-1; fm_output=-1; }; units_lesioned=0; gp_unit_names_4d=0; brain_area=; voxel_fill_pct=1; spec {type=LeabraLayerSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LayerSpecs"]["LayerSpec_0"].children["GP_layerspec"]$$: }; }; LeabraLayer @["GP_Ext"] { name="GP_Ext"; desc=; flags=0; layer_type=HIDDEN; pos {x=28: y=4: z=0: }; pos2d {x=0: y=6: }; disp_scale=1; un_geom {x=4: y=1: n_not_xy=0: n=4: }; unit_groups=0; virt_groups=1; gp_geom {x=1: y=1: n_not_xy=0: n=1: }; gp_spc {x=0: y=0: }; flat_geom {x=4: y=1: n_not_xy=0: n=4: }; disp_geom {x=4: y=1: n_not_xy=0: n=4: }; scaled_disp_geom {x=4: y=1: n_not_xy=0: n=1: }; projections { name="projections"; el_typ=LeabraPrjn; el_def=0; LeabraPrjn @["Fm_NoGo"] { name="Fm_NoGo"; off=0; disp=1; from_type=CUSTOM; from=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers.gp["Striatum"]["NoGo"]$$; spec {type=TesselPrjnSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["Striatum_GPInt"]$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["Inhib"]$$: }; recv_idx=0; send_idx=2; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; }; send_prjns { name="send_prjns"; el_typ=LeabraPrjn; el_def=0; Projection_Group @. = [0] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["GP_Int"].projections["Fm_GP_Ext"]; }; units { name="units"; el_typ=LeabraUnit; el_def=0; pos {x=0: y=0: z=0: }; output_name=; }; unit_spec {type=LeabraUnitSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Units"]["FixedBiasUnitSpec"].children["GPExt_UnitSpec"]$$: }; dmem_dist=DMEM_DIST_DEFAULT; dist { fm_input=-1; fm_output=-1; }; units_lesioned=0; gp_unit_names_4d=0; brain_area=; voxel_fill_pct=1; spec {type=LeabraLayerSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LayerSpecs"]["LayerSpec_0"].children["GP_layerspec"]$: }; }; LeabraLayer @["Thalamus"] { name="Thalamus"; desc=; flags=0; layer_type=HIDDEN; pos {x=32: y=0: z=1: }; pos2d {x=0: y=9: }; disp_scale=1; un_geom {x=4: y=1: n_not_xy=0: n=4: }; unit_groups=0; virt_groups=1; gp_geom {x=1: y=1: n_not_xy=0: n=1: }; gp_spc {x=0: y=0: }; flat_geom {x=4: y=1: n_not_xy=0: n=4: }; disp_geom {x=4: y=1: n_not_xy=0: n=4: }; scaled_disp_geom {x=4: y=1: n_not_xy=0: n=1: }; projections { name="projections"; el_typ=LeabraPrjn; el_def=0; LeabraPrjn @["Fm_GP_Int"] { name="Fm_GP_Int"; off=0; disp=1; from_type=CUSTOM; from=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["GP_Int"]$$; spec {type=TesselPrjnSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["dMatrosomesGP"]$$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["GPeGPiInhib"].children["ThalInhib2"]$$: }; recv_idx=0; send_idx=0; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; LeabraPrjn @["Fm_PMC"] { name="Fm_PMC"; off=0; disp=1; from_type=CUSTOM; from=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["PMC"]$; spec {type=TesselPrjnSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["dMatrosomesGP"]$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["FixedFull"]$$: }; recv_idx=1; send_idx=5; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; }; send_prjns { name="send_prjns"; el_typ=LeabraPrjn; el_def=0; Projection_Group @. = [0] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["PMC"].projections["Fm_Thalamus"]; }; units { name="units"; el_typ=LeabraUnit; el_def=0; pos {x=0: y=0: z=0: }; output_name=; }; unit_spec {type=LeabraUnitSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Units"]["FixedBiasUnitSpec"].children["Thalamus"]$$: }; dmem_dist=DMEM_DIST_DEFAULT; dist { fm_input=-1; fm_output=-1; }; units_lesioned=0; gp_unit_names_4d=0; brain_area=; voxel_fill_pct=1; spec {type=LeabraLayerSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LayerSpecs"]["LayerSpec_0"].children["Thalamus_layerspec"]$$: }; }; LeabraLayer @["PMC"] { name="PMC"; desc=; flags=NO_ADD_SSE|NO_ADD_COMP_SSE; layer_type=TARGET; pos {x=28: y=0: z=2: }; pos2d {x=0: y=12: }; disp_scale=1; un_geom {x=4: y=2: n_not_xy=0: n=8: }; unit_groups=0; virt_groups=1; gp_geom {x=1: y=1: n_not_xy=0: n=1: }; gp_spc {x=0: y=0: }; flat_geom {x=4: y=2: n_not_xy=0: n=8: }; disp_geom {x=4: y=2: n_not_xy=0: n=8: }; scaled_disp_geom {x=4: y=2: n_not_xy=0: n=1: }; projections { name="projections"; el_typ=LeabraPrjn; el_def=0; LeabraPrjn @["Fm_Thalamus"] { name="Fm_Thalamus"; off=0; disp=1; from_type=CUSTOM; from=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["Thalamus"]$; spec {type=TesselPrjnSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["dMatrosomesGP"]$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["FixedFull"]$: }; recv_idx=0; send_idx=0; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; LeabraPrjn @["Fm_Context"] { name="Fm_Context"; off=0; disp=1; from_type=CUSTOM; from=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["Context"]$$; spec {type=FullPrjnSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["FullPrjnSpec_0"]$$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["Fixed"].children["Input_Motor_Prepotent_"]$$: }; recv_idx=1; send_idx=3; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; LeabraPrjn @["Fm_Input"] { name="Fm_Input"; off=0; disp=1; from_type=CUSTOM; from=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["Input"]$$; spec {type=FullPrjnSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["FullPrjnSpec_0"]$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["Fixed"].children["Input_Motor_Prepotent_"]$: }; recv_idx=2; send_idx=3; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; }; send_prjns { name="send_prjns"; el_typ=LeabraPrjn; el_def=0; Projection_Group @. = [0] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["Thalamus"].projections["Fm_PMC"]; Projection_Group @. = [1] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["Output"].projections["Fm_PMC"]; Projection_Group @. = [2] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["Output"].projections["Fm_PMC_1"]; Projection_Group @. = [3] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers.gp["Striatum"]["Go"].projections["Fm_PMC"]; Projection_Group @. = [4] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers.gp["Striatum"]["NoGo"].projections["Fm_PMC"]; Projection_Group @. = [5] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers.gp["Striatum"]["Striatum_Inhib"].projections["Fm_PMC"]; }; units { name="units"; el_typ=LeabraUnit; el_def=0; pos {x=0: y=0: z=0: }; output_name=; }; unit_spec {type=LeabraUnitSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Units"]["FixedBiasUnitSpec"].children["LearnBiasUnitSpec"].children["Motor_Cortex_1_unitspec"]$$: }; dmem_dist=DMEM_DIST_DEFAULT; dist { fm_input=-1; fm_output=-1; }; units_lesioned=0; gp_unit_names_4d=0; brain_area=; voxel_fill_pct=1; spec {type=LeabraLayerSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LayerSpecs"]["LayerSpec_0"].children["MCLayerSpec"]$$: }; }; LeabraLayer @["Output"] { name="Output"; desc=; flags=0; layer_type=TARGET; pos {x=36: y=0: z=2: }; pos2d {x=0: y=15: }; disp_scale=1; un_geom {x=4: y=1: n_not_xy=0: n=4: }; unit_groups=0; virt_groups=1; gp_geom {x=1: y=1: n_not_xy=0: n=1: }; gp_spc {x=0: y=0: }; flat_geom {x=4: y=1: n_not_xy=0: n=4: }; disp_geom {x=4: y=1: n_not_xy=0: n=4: }; scaled_disp_geom {x=4: y=1: n_not_xy=0: n=1: }; projections { name="projections"; el_typ=LeabraPrjn; el_def=0; LeabraPrjn @["Fm_PMC"] { name="Fm_PMC"; off=0; disp=1; from_type=CUSTOM; from=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["PMC"]$; spec {type=TesselPrjnSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["Striatum_GPInt"]$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["FixedFull"]$: }; recv_idx=0; send_idx=3; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; LeabraPrjn @["Fm_PMC_1"] { name="Fm_PMC_1"; off=0; disp=1; from_type=CUSTOM; from=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["PMC"]$; spec {type=OneToOnePrjnSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["OneToOne_2"]$$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["FixedFull"]$: }; recv_idx=1; send_idx=4; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; }; send_prjns { name="send_prjns"; el_typ=LeabraPrjn; el_def=0; }; units { name="units"; el_typ=LeabraUnit; el_def=0; pos {x=0: y=0: z=0: }; output_name=; }; unit_spec {type=LeabraUnitSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Units"]["FixedBiasUnitSpec"].children["OutputUnitSpec"]$$: }; dmem_dist=DMEM_DIST_DEFAULT; dist { fm_input=-1; fm_output=-1; }; units_lesioned=0; gp_unit_names_4d=0; brain_area=; voxel_fill_pct=1; spec {type=LeabraLayerSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LayerSpecs"]["LayerSpec_0"].children["Output_layerspec"]$$: }; }; LeabraLayer @["SNc"] { name="SNc"; desc=; flags=0; layer_type=INPUT; pos {x=6: y=0: z=0: }; pos2d {x=0: y=18: }; disp_scale=1; un_geom {x=2: y=2: n_not_xy=0: n=4: }; unit_groups=0; virt_groups=1; gp_geom {x=1: y=1: n_not_xy=0: n=1: }; gp_spc {x=0: y=0: }; flat_geom {x=2: y=2: n_not_xy=0: n=4: }; disp_geom {x=2: y=2: n_not_xy=0: n=4: }; scaled_disp_geom {x=2: y=2: n_not_xy=0: n=1: }; projections { name="projections"; el_typ=LeabraPrjn; el_def=0; }; send_prjns { name="send_prjns"; el_typ=LeabraPrjn; el_def=0; Projection_Group @. = [0] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers.gp["Striatum"]["Go"].projections["Fm_SNc"]; Projection_Group @. = [1] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers.gp["Striatum"]["NoGo"].projections["Fm_SNc"]; Projection_Group @. = [2] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers.gp["Striatum"]["Striatum_Inhib"].projections["Fm_SNc"]; }; units { name="units"; el_typ=LeabraUnit; el_def=0; pos {x=0: y=0: z=0: }; output_name=; }; unit_spec {type=LeabraUnitSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Units"]["SNc_UnitSpec"]$$: }; dmem_dist=DMEM_DIST_DEFAULT; dist { fm_input=-1; fm_output=-1; }; units_lesioned=0; gp_unit_names_4d=0; brain_area=; voxel_fill_pct=1; spec {type=LeabraLayerSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LayerSpecs"]["LayerSpec_0"].children["SNc_layerspec"]$$: }; }; LeabraLayer @["Context"] { name="Context"; desc=; flags=0; layer_type=INPUT; pos {x=3: y=0: z=2: }; pos2d {x=0: y=21: }; disp_scale=1; un_geom {x=9: y=2: n_not_xy=0: n=18: }; unit_groups=0; virt_groups=1; gp_geom {x=1: y=1: n_not_xy=0: n=1: }; gp_spc {x=0: y=0: }; flat_geom {x=9: y=2: n_not_xy=0: n=18: }; disp_geom {x=9: y=2: n_not_xy=0: n=18: }; scaled_disp_geom {x=9: y=2: n_not_xy=0: n=1: }; projections { name="projections"; el_typ=LeabraPrjn; el_def=0; }; send_prjns { name="send_prjns"; el_typ=LeabraPrjn; el_def=0; Projection_Group @. = [0] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["PMC"].projections["Fm_Context"]; Projection_Group @. = [1] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers.gp["Striatum"]["Go"].projections["Fm_Context"]; Projection_Group @. = [2] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers.gp["Striatum"]["Striatum_Inhib"].projections["Fm_Context"]; Projection_Group @. = [3] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers.gp["Striatum"]["NoGo"].projections["Fm_Context"]; }; units { name="units"; el_typ=LeabraUnit; el_def=0; pos {x=0: y=0: z=0: }; output_name=; }; unit_spec {type=LeabraUnitSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Units"]["FixedBiasUnitSpec"]$: }; dmem_dist=DMEM_DIST_DEFAULT; dist { fm_input=-1; fm_output=-1; }; units_lesioned=0; gp_unit_names_4d=0; brain_area=; voxel_fill_pct=1; spec {type=LeabraLayerSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LayerSpecs"]["LayerSpec_0"].children["InputLayer"]$: }; }; Layer_Group @.gp["Striatum"] { name="Striatum"; el_typ=LeabraLayer; el_def=0; pos {x=9: y=0: z=1: }; pos2d {x=0: y=24: }; max_disp_size {x=16: y=9: z=1: }; max_disp_size2d {x=10: y=19: }; LeabraLayer @["Go"] { name="Go"; desc=; flags=0; layer_type=HIDDEN; pos {x=0: y=0: z=0: }; pos2d {x=0: y=0: }; disp_scale=1; un_geom {x=4: y=9: n_not_xy=0: n=36: }; unit_groups=0; virt_groups=1; gp_geom {x=1: y=1: n_not_xy=0: n=1: }; gp_spc {x=1: y=1: }; flat_geom {x=4: y=9: n_not_xy=0: n=36: }; disp_geom {x=4: y=9: n_not_xy=0: n=36: }; scaled_disp_geom {x=4: y=9: n_not_xy=0: n=1: }; projections { name="projections"; el_typ=LeabraPrjn; el_def=0; LeabraPrjn @["Fm_Input"] { name="Fm_Input"; off=0; disp=1; from_type=CUSTOM; from=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["Input"]$; spec {type=FullPrjnSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["FullPrjnSpec_0"]$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["Fixed"].children["InputStriatum"]$: }; recv_idx=0; send_idx=2; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; LeabraPrjn @["Fm_Context"] { name="Fm_Context"; off=0; disp=1; from_type=CUSTOM; from=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["Context"]$; spec {type=FullPrjnSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["FullPrjnSpec_0"]$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["Fixed"].children["ContextStriatum"]$$: }; recv_idx=1; send_idx=2; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; LeabraPrjn @["Fm_Striatum_Inhib"] { name="Fm_Striatum_Inhib"; off=0; disp=1; from_type=CUSTOM; from=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers.gp["Striatum"]["Striatum_Inhib"]$$; spec {type=FullPrjnSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["FullPrjnSpec_0"]$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["FixedFull"].children["InhibCons"]$$: }; recv_idx=2; send_idx=2; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; LeabraPrjn @["Fm_SNc"] { name="Fm_SNc"; off=0; disp=1; from_type=CUSTOM; from=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["SNc"]$; spec {type=FullPrjnSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["FullPrjnSpec_0"]$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["Dopamine_D1_"]$: }; recv_idx=3; send_idx=2; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; LeabraPrjn @["Fm_PMC"] { name="Fm_PMC"; off=0; disp=1; from_type=CUSTOM; from=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["PMC"]$; spec {type=TesselPrjnSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["MotorCortex_Striatum"]$$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["Fixed"].children["MotorCortex2_Striatum2"]$$: }; recv_idx=4; send_idx=2; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; LeabraPrjn @["Fm_NoGo"] { name="Fm_NoGo"; off=0; disp=1; from_type=CUSTOM; from=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers.gp["Striatum"]["NoGo"]$; spec {type=TesselPrjnSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["Striatum_GPInt"]$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["NoGo_inhib_Go"]$$: }; recv_idx=5; send_idx=1; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; }; send_prjns { name="send_prjns"; el_typ=LeabraPrjn; el_def=0; Projection_Group @. = [0] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers.gp["Striatum"]["Striatum_Inhib"].projections["Fm_Go"]; Projection_Group @. = [1] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["GP_Int"].projections["Fm_Go"]; }; units { name="units"; el_typ=LeabraUnit; el_def=0; pos {x=0: y=0: z=0: }; output_name=; }; unit_spec {type=LeabraUnitSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Units"]["FixedBiasUnitSpec"].children["LearnBiasUnitSpec"].children["matrisom_unitspec"]$$: }; dmem_dist=DMEM_DIST_DEFAULT; dist { fm_input=-1; fm_output=-1; }; units_lesioned=0; gp_unit_names_4d=0; brain_area=; voxel_fill_pct=1; spec {type=LeabraLayerSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LayerSpecs"]["LayerSpec_0"].children["Matrisome_layerspec"]$$: }; }; LeabraLayer @["NoGo"] { name="NoGo"; desc=; flags=0; layer_type=HIDDEN; pos {x=8: y=0: z=0: }; pos2d {x=6: y=3: }; disp_scale=1; un_geom {x=4: y=9: n_not_xy=0: n=36: }; unit_groups=0; virt_groups=1; gp_geom {x=1: y=1: n_not_xy=0: n=1: }; gp_spc {x=1: y=1: }; flat_geom {x=4: y=9: n_not_xy=0: n=36: }; disp_geom {x=4: y=9: n_not_xy=0: n=36: }; scaled_disp_geom {x=4: y=9: n_not_xy=0: n=1: }; projections { name="projections"; el_typ=LeabraPrjn; el_def=0; LeabraPrjn @["Fm_Input"] { name="Fm_Input"; off=0; disp=1; from_type=CUSTOM; from=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["Input"]$; spec {type=FullPrjnSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["FullPrjnSpec_0"]$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["Fixed"].children["inNoGO"]$: }; recv_idx=0; send_idx=1; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; LeabraPrjn @["Fm_Context"] { name="Fm_Context"; off=0; disp=1; from_type=CUSTOM; from=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["Context"]$; spec {type=FullPrjnSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["FullPrjnSpec_0"]$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["Fixed"].children["ContextStriatum"]$: }; recv_idx=1; send_idx=1; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; LeabraPrjn @["Fm_Striatum_Inhib"] { name="Fm_Striatum_Inhib"; off=0; disp=1; from_type=CUSTOM; from=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers.gp["Striatum"]["Striatum_Inhib"]$; spec {type=FullPrjnSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["FullPrjnSpec_0"]$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["FixedFull"].children["InhibCons"]$: }; recv_idx=2; send_idx=1; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; LeabraPrjn @["Fm_SNc"] { name="Fm_SNc"; off=0; disp=1; from_type=CUSTOM; from=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["SNc"]$; spec {type=FullPrjnSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["FullPrjnSpec_0"]$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["SNc_Inhib_D2_"]$: }; recv_idx=3; send_idx=1; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; LeabraPrjn @["Fm_PMC"] { name="Fm_PMC"; off=0; disp=1; from_type=CUSTOM; from=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["PMC"]$; spec {type=TesselPrjnSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["MotorCortex_Striatum"]$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["Fixed"].children["Motor_NoGo"]$$: }; recv_idx=4; send_idx=1; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; }; send_prjns { name="send_prjns"; el_typ=LeabraPrjn; el_def=0; Projection_Group @. = [0] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers.gp["Striatum"]["Striatum_Inhib"].projections["Fm_NoGo"]; Projection_Group @. = [1] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers.gp["Striatum"]["Go"].projections["Fm_NoGo"]; Projection_Group @. = [2] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["GP_Ext"].projections["Fm_NoGo"]; }; units { name="units"; el_typ=LeabraUnit; el_def=0; pos {x=0: y=0: z=0: }; output_name=; }; unit_spec {type=LeabraUnitSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Units"]["FixedBiasUnitSpec"].children["LearnBiasUnitSpec"].children["matrisom_unitspec"]$: }; dmem_dist=DMEM_DIST_DEFAULT; dist { fm_input=-1; fm_output=-1; }; units_lesioned=0; gp_unit_names_4d=0; brain_area=; voxel_fill_pct=1; spec {type=LeabraLayerSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LayerSpecs"]["LayerSpec_0"].children["Matrisome_layerspec"]$: }; }; LeabraLayer @["Striatum_Inhib"] { name="Striatum_Inhib"; desc=; flags=0; layer_type=HIDDEN; pos {x=14: y=0: z=0: }; pos2d {x=0: y=11: }; disp_scale=1; un_geom {x=2: y=8: n_not_xy=0: n=16: }; unit_groups=0; virt_groups=1; gp_geom {x=1: y=1: n_not_xy=0: n=1: }; gp_spc {x=1: y=1: }; flat_geom {x=2: y=8: n_not_xy=0: n=16: }; disp_geom {x=2: y=8: n_not_xy=0: n=16: }; scaled_disp_geom {x=2: y=8: n_not_xy=0: n=1: }; projections { name="projections"; el_typ=LeabraPrjn; el_def=0; LeabraPrjn @["Fm_Input"] { name="Fm_Input"; off=0; disp=1; from_type=CUSTOM; from=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["Input"]$; spec {type=FullPrjnSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["FullPrjnSpec_0"]$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["FBtoInhib"].children["FFtoInhib"]$$: }; recv_idx=0; send_idx=0; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; LeabraPrjn @["Fm_Context"] { name="Fm_Context"; off=0; disp=1; from_type=CUSTOM; from=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["Context"]$; spec {type=FullPrjnSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["FullPrjnSpec_0"]$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["FBtoInhib"].children["FFtoInhib"]$: }; recv_idx=1; send_idx=0; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; LeabraPrjn @["Fm_SNc"] { name="Fm_SNc"; off=0; disp=1; from_type=CUSTOM; from=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["SNc"]$; spec {type=FullPrjnSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["FullPrjnSpec_0"]$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["FBtoInhib"].children["FFtoInhib"]$: }; recv_idx=2; send_idx=0; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; LeabraPrjn @["Fm_PMC"] { name="Fm_PMC"; off=0; disp=1; from_type=CUSTOM; from=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers["PMC"]$; spec {type=FullPrjnSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["FullPrjnSpec_0"]$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["FBtoInhib"].children["FFtoInhib"]$: }; recv_idx=3; send_idx=0; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; LeabraPrjn @["Fm_Striatum_Inhib"] { name="Fm_Striatum_Inhib"; off=0; disp=1; from_type=SELF; from=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers.gp["Striatum"]["Striatum_Inhib"]$; spec {type=FullPrjnSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["FullPrjnSpec_0"]$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["InhibInhib"]$$: }; recv_idx=4; send_idx=0; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; LeabraPrjn @["Fm_Go"] { name="Fm_Go"; off=0; disp=1; from_type=CUSTOM; from=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers.gp["Striatum"]["Go"]$; spec {type=FullPrjnSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["FullPrjnSpec_0"]$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["FBtoInhib"]$$: }; recv_idx=5; send_idx=0; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; LeabraPrjn @["Fm_NoGo"] { name="Fm_NoGo"; off=0; disp=1; from_type=CUSTOM; from=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers.gp["Striatum"]["NoGo"]$; spec {type=FullPrjnSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LeabraPrjns"]["FullPrjnSpec_0"]$: }; con_type=LeabraCon; recvcons_type=LeabraRecvCons; sendcons_type=LeabraSendCons; con_spec {type=LeabraConSpec: spec=$.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Connections"]["FBtoInhib"]$: }; recv_idx=6; send_idx=0; recv_n=1; send_n=1; projected=1; direction=DIR_UNKNOWN; prjn_clr {r=1: g=0.9: b=0.5: a=1: }; trg_netin_rel=-1; }; }; send_prjns { name="send_prjns"; el_typ=LeabraPrjn; el_def=0; Projection_Group @. = [0] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers.gp["Striatum"]["Striatum_Inhib"].projections["Fm_Striatum_Inhib"]; Projection_Group @. = [1] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers.gp["Striatum"]["Go"].projections["Fm_Striatum_Inhib"]; Projection_Group @. = [2] = LeabraPrjn .projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].layers.gp["Striatum"]["NoGo"].projections["Fm_Striatum_Inhib"]; }; units { name="units"; el_typ=LeabraUnit; el_def=0; pos {x=0: y=0: z=0: }; output_name=; }; unit_spec {type=LeabraUnitSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["Units"]["FixedBiasUnitSpec"].children["InhibUnits"]$$: }; dmem_dist=DMEM_DIST_DEFAULT; dist { fm_input=-1; fm_output=-1; }; units_lesioned=0; gp_unit_names_4d=0; brain_area=; voxel_fill_pct=1; spec {type=LeabraLayerSpec: spec=.projects["BG_4s_inhib_PS_e7a"].networks["BG_4s"].specs.gp["LayerSpecs"]["InhibLayers"]$$: }; }; }; }; weights { name="weights"; el_typ=Weights; el_def=0; }; flags=NETIN_PER_PRJN; auto_build=AUTO_BUILD; brain_atlas_name=; train_mode=TEST; wt_update=ON_LINE; small_batch_n=5; sse_unit_avg=0; sse_sqrt=0; cnt_err_tol=0; compute_prerr=0; threads { run_time {name="TimeUsedHR_12": s_used=0: n_used=0: avg_used={avg=0: sum=0: n=0: }: }; sync_time {name="TimeUsedHR_13": s_used=0: n_used=0: avg_used={avg=0: sum=0: n=0: }: }; total_time {name="TimeUsedHR_14": s_used=0: n_used=0: avg_used={avg=0: sum=0: n=0: }: }; n_wake_in_sync=0; run_time_pct=0; sync_time_pct=0; wake_in_sync_pct=5.262939115043168e+294; interleave=1; ignore_lay_sync=0; }; dmem_sync_level=DMEM_SYNC_NETWORK; dmem_nprocs=1; usr1_save_fmt=FULL_NET; wt_save_fmt=TEXT; n_units=156; n_cons=7116; max_prjns=7; max_disp_size {x=40: y=9: z=3: }; max_disp_size2d {x=10: y=43: }; learn_rule=LEABRA_CHL; ti_mode=0; phase_order=MINUS_PLUS; no_plus_test=1; sequence_init=DO_NOTHING; phase_max=1; time_inc=1; cycle_max=150; mid_minus_cycle=-1; min_cycles=15; min_cycles_phase2=35; dwt_norm_enabled=0; ct_time { use=0; minus=50; plus=20; inhib=1; n_avg_only_epcs=0; total_cycles=71; inhib_start=70; }; ct_sravg { manual=0; start=30; end=1; interval=1; plus_s_st=19; plus_s_only=0; force_con=0; }; ct_sin_i { start=30; duration=20; n_pi=2; burst_i=0; trough_i=0; }; ct_fin_i { start=20; end=25; inhib_i=0; }; ct_lrn_trig { plus_lrn_cyc=-1; davg_dt=0.1; davg_s_dt=0.05; davg_m_dt=0.03; davg_l_dt=0.0005; thr_min=0; thr_max=0.5; loc_max_cyc=8; loc_max_dec=0.01; lrn_delay=40; lrn_refract=100; davg_l_init=0; davg_max_init=0.001; davg_time=10; davg_s_time=20; davg_m_time=33.33333; davg_l_time=2000; lrn_delay_inc=0.025; lrn_refract_inc=0.01; }; lrn_trig { davg=0; davg_s=0; davg_m=0; davg_smd=0; davg_l=0; davg_max=0.001; cyc_fm_inc=0; cyc_fm_dec=0; loc_max=0; lrn_max=0; lrn_trig=0; lrn=0; }; net_misc { cyc_syn_dep=0; syn_dep_int=20; }; maxda_stopcrit=0.005; trg_max_act_stopcrit=1; norew_val=0.5; off_errs=1; on_errs=1; cos_err_lrn_thr=-1; cos_diff_on=0; cos_diff_auto=1; }; }; };